2016-EDA复习资料

更新时间:2023-11-05 11:44:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

1.FPGA中文名称是现场可编程门阵列,CPLD中文名称是复杂可编程逻辑器件。 2.VHDL的子程序有 函数 和 过程 两种。

3.VHDL的变量(VARIABLE)是一个局部变量 ,只能在进程和子程序中声明和使用。 4.VHDL的数据对象包括 信号 、 变量 和 常数 。 5.在VHDL的IEEE库中,预先定义的逻辑位数据STD_LOGIC有 9 个。

6.在用Quartus II进行设计电路时,用VHDL语言进行设计电路时,则文本文件后缀名为: VHD ,用于仿真的波形文件的后缀名为: VWF 。

7.以EDA方式实现的电路设计文件,最终可以编程下载到 CPLD或 FPGA芯片中,完成硬件设计与验证。

8. 实体 和 结构体 是VHDL程序的基本组成部分,它们可以构成最基本的的VHDL程序。

9.如果在VHDL程序中需要进行二个逻辑位矢量的加法运算,如果需要直接利用“+”进行运算,则必须调用IEEE库中的 std_logic_unsigned 包集合。

10.VHDL的端口声明语句中,端口方向包括 in 、 out、inout、 buffer 。 11.用VHDL语言设计数字电路,常用的描述方式有 数据流描述、行为级描述、结构化描述。

12.在VHDL的如果需要在二个进程中进行信息的通讯,则必须使用 信号 进行。 13.将硬件描述语言转化为硬件电路的重要工具软件称为 (HDL)结合器 。 14.VHDL的顺序语句只能出现在 进程 、 函数 和 过程 中,是按书写顺序一条一条执行的。

15 VHDL的Process(进程)内部的程序是按 顺序 执行方式执行的,但它本身却是按 并行 执行方式执行。

16. 用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块 独立存在 和 独立运行 。

17.基于EPRom、EPRom和内存储器件的可编程器件,在系统断电后编程信息 [不会] 丢失。

18.采用SDRam结构的可编程器件,在系统断电后编程信息 [会] 丢失

2

1.在EDA工具中,能将硬件描述语言转化为硬件电路图的重要工具软件称为( )。 A.仿真器 B.综合器 C.适配器 D.下载器 2.Quartus II的文本设计文件类型是( )。 A.scf B.gdf C.vhd D.v

3.在VHDL中,用语句( )表示检测CLOCK的上升沿。

A.clock’event B.clock’event and clk=’1’ C.clock=’1’ D.clock’event and clock=’0’ 4.Quartus II是( )。

A.高级语言 B.硬件描述语言 C.EDA工具软件 D.综合软件

5.在VHDL的CASR语句中,条件句中“=>”不是操作符,它相当于( )的作用 A.IF B.THEN C.AND D.OR

6.在VHDL的IEEE库中,预先定义的逻辑位数据BIT有()种逻辑值。 A.2 B.3 C.8 D.9

7.基于硬件描述语言HDL的数字系统设计目前最常用的设计方法称为( )设计法。 A.自底向上 B.自顶向下 C.积木式 D.顶层.

8.在VHDL中,语句“FOR n IN 0 TO 8 LOOP”定义的循环次数为( )次。 A.2 B.7 C.8 D.9

8.在VHDL的并行语句之间,可以用( )来传送往来信息。 A.变量 B.变量和信号 C.信号 D.常量

10.在VHDL中,语句“FOR n IN 0 TO 7 LOOP”定义的循环次数为( )次。 A.2 B.7 C.8 D.9 11.VHDL常用的为是( )标准库

A.IEEE B. STD C.WORK D.PACKAGE 12.在VHDL中,为目标信号赋值符号是( )。 A. := B.=: C.= D.<= 13.在VHDL中,为目标变量赋值符号是( )。 A. := B.=: C.= D.<= 14.VHDL常用的库是( )标准库。

A.IEEE B. STD C.WORK D.PACKAGE 15.在下列标识符中,()是VHDL合法标识符。 A.4h_adde B.h_adde_ C.h_adder D._h_adde

16.在VHDL的IEEE库中,预先定义的逻辑位数据STD_LOGIC有()个。 A.2 B.3 C.8 D.9

17.在VHDL中,用语句()表示检测CLOCK的下降沿。

A.clock’event B.clock’event and clk=’1’ C.clock=’1’ D.clock’event and clock=’0’ 18.在VHDL语言中,( )的数据传输是立即发生的,不存在任何的延时行为。 A.信号 B.常量 C.数据 D.变量

19.VHDL的设计实体可以被高层次的系统 ,成为系统的—部分。 A.输入 B.输出 C.仿真 D.调用 20.在VHDL的端口声明语句中,( )声明端口为双向方向。 A.In B.Out C.InOut D.Buffer 21.在VHDL中,( )的数据传输是立即发生的,不存在任何延时的行为; A.信号 B.常量 C.数据 D.变量 22.在VHDL中,( )的数据转输不是立即发生的,目标信号的赋值是需要一定延时时间。 A.信号 B.常量 C.数据 D.变量

23.在VHDL中,For__Loop语句的循环变量是一个临时变量,属于Loop语句的局部变量,( )事先说明。

A.必须 B.不必 C.其类型要 D.其属性要

24.在VHDL个,含WAIT语句的进程PROCESS的括号中( )再加敏感信号,否则是非法的。

A.可以 B.不能 C.任意 D.只能

25.VHDL的块语句是并行语句结构,它的内部是由( )语句构成的。 A.并行和顺序 B.顺序 C.并行 D.任意

26.在VHDL巾,条件信号赋值语句WHEN—ELSE属于( )语句。 A.并行兼顺序 B.顺序 C.并行 D.不存在的

27.在元件例化语句(Component)中,用( )符号实现名称映射,将例化元件端口声明语句中的信号名与Port Map( )个的信号名关联起来。 A.= B.:= C.<= D.=>

28.在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在( )里。

A.设计实体 B.程序体 C.结构体 D.程序包

29.使用Quartus II工具软件实现原理图设计输入,应采用 方式: A.图形编辑 B.文本编辑 C.符号编辑 D.波形编辑

30.执行Quartus II的 命令,可以对设计电路进行功能仿真或者时序仿真。 A.Start Software Build B.Start Simulator C.Statr Compilation D. Compilation Report 31.执行Quartus II的 命令,可以检查站设计电路的错误。 A.Start Software Build B.Start Simulator C.Statr Compilation D. Compilation Report 32.下列对进程的描述中,正确的是 。

A.在一个结构体中可以包含多个进程,进程和进程之间的执行都是顺序执行的; B.进程内部的语句是顺序执行;

C.进程说明语句定义该进程所需要的全局量; D.在进程中允许定义信号。 33.下面说法错误的是 。

A.调用函数时可以一次返回多个值;

B.在进程与结构体中不必定义函数首,仅在程序包中才定义函数首 ; C.过程返回值是在过程的参数表中,一次可以返回多个值;

D.过程体中的说明语句说明的量是一个局部量,仅在该过程中有效。

根据VHDL的实体说明语句画出与下列实体描述对应的原理图符号。 略

以下程序有何错处?试改正。

①ENTITY aa IS PORT(clk: IN BIT;

q: OUT BIT_VECTOR(3 DOWNTO 0);) END bb;

ARCHITECTURE a OF aa IS BEGIN

PROCESS(clk)

IF clk'event AND clk='1' THEN

q<=q+1; END IF;

END PROCESS; END a;

②SIGNAL invalue : INTEGER RANGE 0 TO 15; SIGNAL outvalue: STD_LOGIC;

???

??? CASE invalue IS

WHEN 0=>outvalue<=1; WHEN 1=>outvalue<=0; END CASE;

①ENTITY aa IS PORT(clk: IN BIT;

q: buffer BIT_VECTOR(3 DOWNTO 0)) ; END bb;

ARCHITECTURE a OF bb IS BEGIN

PROCESS(clk)

begin IF clk'event AND clk='1' THEN

q<=q+1; END IF;

END PROCESS; END a;

②SIGNAL invalue : INTEGER RANGE 0 TO 15; SIGNAL outvalue: STD_LOGIC;

???

??? CASE invalue IS

WHEN ‘0’=>outvalue<='1'; WHEN ‘1’=>outvalue<='0'; WHEN OTHERS=>NULL; END CASE;

分析下面的VHDL源程序,说明设计电路的功能,要求说出输入信号输出信号的含义。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ly IS

PORT(a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); gt,lt,eq: OUT STD_LOGIC); END ly;

ARCHITECTURE a OF ly IS BEGIN

process(a,b) begin

if a>b then gt<='1'; lt<='0'; eq<='0';

elsif a

end process; end a;

电路功能:电路功能:是一个比较器,当A大于B时,LT输出1其余输出0,当A小于B时,GT输出1其余输出零,当A等于B时EQ输出1其余输出零。

2、library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity lx is

port(s2,s1,s0:in std_logic;

d3,d2,d1,d0:in std_logic; d7,d6,d5,d4:in std_logic; y:out std_logic); end lx;

architecture a of lx is

signal s :std_logic_vector(2 downto 0); begin

s<=s2&s1&s0;

y<=d0 when s=\ d1 when s=\ d2 when s=\

第5页,共14页

本文来源:https://www.bwwdw.com/article/aj82.html

Top