EDA实验报告三(3-8译码器的设计)
更新时间:2023-10-16 06:11:01 阅读量: 综合文库 文档下载
实验三:3-8译码器的设计
一、实验目的
1、学习Quartus II 7.2软件设计平台。 2、了解EDA的设计过程。
3、通过实例,学习和掌握Quartus II 7.2平台下的文本输入法。
4、学习和掌握3-8译码器的工作和设计原理。 5、初步掌握该实验的软件仿真过程。 二、实验仪器
PC机,操作系统为Windows7/XP,本课程所用系统均为WindowsXP(下同),Quartus II 7.2设计平台。 三、实验步骤
1、创建工程,在File菜单中选择New Project Wizard,弹出对话框
如下图所示
在这个窗口中第一行为工程保存路径,第二行为工程名,第三行为顶层文件实体名,和工程名一样。
2、新建设计文本文件,在file中选择new,出现如下对话框:
选择VHDL File 点击OK。
3、文本输入,在文本中输入如下程序代码:
library ieee;
use ieee.std_logic_1164.all;
entity variable_decoder is port(A:in STD_LOGIC; B:in STD_LOGIC; C:in STD_LOGIC;
Y:out STD_LOGIC_VECTOR(7 downto 0)); end variable_decoder;
architecture rtl of variable_decoder is begin
process(A,B,C)
variable COMB:std_logic_vector(2 downto 0); begin
COMB:=C&B&A; case COMB is
when \ when \ when \ when \
when \ when \
when \
when \
when others=>Y<=\ end case;
end process; end rtl;
然后保存到工程中,结果如下图所示:
4、编译,如果有多个文件要把这个文件设为当前顶层实体,这样软件编译时就只编译这个文件。点击 projet->Set as Top-level EntityCtrl+Shift+J 接下来进行编译,点击processing->Start Compilation ,见下图
5、仿真验证,打开波形编辑器,新建一个波形仿真文件,如下图:
然后选择菜单“View”→ “Utility”→“Node Finder”出现如下对话框,在“Filter”中选择“Pins:all”,再点击“List”即在下边的“Node Found”框中出现本设计项目中所有端口引脚列表,并逐个拖到波形编辑器的窗口中。
接下来编辑输入信号波形,然后将编辑好的信号波形保存,点击波形仿真,仿真结果如下图所示:
6.选择菜单“Tools”→ “Netlist Viewers”→“RTL viewer”得到如下电路图:
正在阅读:
EDA实验报告三(3-8译码器的设计)10-16
迅达电梯3300故障代码07-19
1土力学总结05-25
小二班家长会发言稿06-05
盼望02-16
精神病管理工作总结2015年10-20
社会实践报告书 - 图文04-08
贫困补助学生申请书【优秀4篇】03-27
留队申请书【通用10篇】03-27
新闻中心砌体及抹灰施工方案【精编版】04-25
- Win7 安装MySql图示
- 计算器课程设计报告
- 部编版八年下语文第三单元第六单元古诗文理解默写练习及答案
- 13质量通病防治方案和施工措施
- 土力学试题~~~~
- 公务员打印资料
- 传热膜系数测定实验报告 - 图文
- 新时期煤矿协管安全工作的创新与实践
- 第五章 习题及参考答案
- 220kV架空线路强条执行记录表
- 音乐欣赏读后感
- 高炉
- 劳动教育需要新的时代内涵
- 10建筑地面工程施工质量验收规范GB50209-20021
- 银行会计练习题2答案
- 2013年七年级地理上册知识点复习提纲湘教版
- 人教版三年级语文上册第四单元测试题(A卷)(有答案)
- 营养师第九章练习题
- 湖北省武汉市2018届高三毕业生二月调研 理综化学
- 行业分析2018-2023年中国男性护肤品行业市场发展分析及投资前景
- 译码器
- 实验
- 报告
- 设计
- EDA
- 原子核外电子排布与元素周期律 第一课时教案
- 有机化学题库-合成
- 沪科版八年级数学下册期中测试卷(沪科版五)
- 民用机场飞行区技术标准试题(8)
- 2018 - 2019学年高中化学第03章水溶液中的离子平衡专题3.2.2pH的计算及应用课时同步试题
- 2011山东建筑工程消耗量定额资料汇编
- 论金融风暴中的中国宏观经济政策与启示
- 2014年地面消防演练步骤及情景设计
- 初等数论:不定方程与高斯函数
- 5阀控式密闭铅酸蓄电池技术规格书模板
- 国家电力监管委员会5号令 电力二次系统安全防护规定
- 威宁县猴场镇全省党代会、旅发大会期间安全生产大检查方案
- 阿胶黄精丸治疗阴虚火旺型失眠临床观察
- 日语入门(发音)
- 2017完结长篇耽美文
- 刘家哲机械设计基础课程设计(详细计算带图纸)
- 药事管理
- 第十一章《兽医内科学》部分
- 压强和浮力(补课)
- 2010年普通高中招生考试文综试题历史卷简析