影视原理实验指导书-学生版

更新时间:2023-10-23 03:27:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

实验一 运算器组成实验

一、实验目的

1、学习数据信息的表示方法,熟练掌握几种四则运算方法。

2、掌握运算器的工作原理及其组成结构,学习运算器的设计方法。 3、熟悉简单运算的数据传送通路。

4、验证运算器功能发生器(74LS181)的组合功能。

二、实验设备

TWL-PCC计算机组成原理教学实验系统一台,排线若干;PC微机一台(选配)。

三、实验原理

本实验中所用的运算器数据通路图如图1.1所示。 本通路图中运算器单元由算术逻辑运算单元(ALU)、两个字长的工作暂存器TR1和TR2及一个8位的输出三态门组成。其中ALU是由两片74LS181以并-串型构成的8位字长的算术逻辑运算单元。两个芯片的控制端S3、S2、S1、S0、M相应的控制信号相互并到一起由排针引出至外部。74LS181的功能表见表1-1。

参与运算的两数据暂存器TR1和TR2由锁存器74LS273来实现。当C_TR1或C_TR2为高电平时,此时来一个T4脉冲,内总线上的数据即被打入到相应的暂存器中。运算器的运算结果数据输出经过一个三态门(74LS245)连接到内总线上,此三态门输出由一个B_ALU控制信号控制,当B_ALU为低电平(0)时,运算器的运算结果输出至内总线上,而为高电平(1)时,则输出高阻态,不影响内总线上的其他数据。

.D7D0运算器单元AJ1B_ALUCN+4CNS3S2S1S0MB7 - - - B0G三态门(245)A7 - - - - A0CN+4F3--F0CNF3--F0CN+4CNALU(181)MA3 - A0B3 - B0ALU(181)B3 - B0MA3 - A0Q7- -Q4CP Q3- -Q0Q7 - Q4CP Q3 - Q0TR1(273)D7 - - - -D0TR2(273)D7 - - - -D0C_TR1T4C_TR2 数据总线D7D0B_SWRDB7 - - - B0G三态门(245)A7 - - - - A0数据开关输入设备单元 .

图1.1 运算器数据通路图

“输入设备单元”的8位数据开关经过一个三态门(74LS245)连接到内总线上,该三态门的输出由B_SW和RD控制信号相或得出,当或的结果为低电平(0)时,数据开关所置的数据输出至内总线上。 1

“数据总线”单元上的总线数据显示灯已与内总线相连,用来显示内总线上的数据。运算器单元所须的T4脉冲信号连接至该单元的T4排针端。实验时,微动开关KK2的输出KK2+连接到该单元的T4排针端,按动一下微动开关,即可获得一个单脉冲信号。此实验中的其他S3、S2、S1、S0、M、CN、C_TR1、C_TR2、B_ALU、B_SW、RD等都为电平信号,将他们连接到“开关组单元”中的二进制数据开关上来模拟不同的电平状态。“开关组单元”的SW1--SW17为相互独立的二进制数据开关,开关向上时为0,开关向下时为1。

表1.1 74LS181的逻辑功能表

输入为A和B,输出为F,为正逻辑

S3 S2 S1 S0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 M=0(算术运算) Cn=1(无进位) F=A F=A+B F=A+B F=0减1 F=A加AB F=AB加(A+B) F=A减B减1 F=AB减1 F=A加AB F=A加B F=AB加(A+B) F=AB减1 F=A加A F=A加(A+B) F=A加(A+B) F=A减1 Cn=0(有进位) F=A加1 F=(A+B)加1 F=(A+B)加1 F=0 F=A加AB加1 F=AB加(A+B)加1 F=A减B F=AB F=A加AB加1 F=A加B加1 F=AB加(A+B)加1 F=AB F=A加A加1 F=A加(A+B)加1 F=A加(A+B)加1 F=A M=1(逻辑运算) F=A F=A+B F=AB F=0 F=AB F=B F=A⊕B F=AB F=A+B F=A⊕B F=B F=AB F=1 F=A+B F=A+B F=A 当向TR1或TR2工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。本TWL-PCC计算机组成原理实验系统中的所有LED指示灯均为亮时所示状态为高电平(1),灯不亮时所示其状态为低电平(0)。

四、实验步骤

1、连接实验线路。参考实验连线图见图1.2。仔细检查无误后,接通电源。

....KK2+T4...............D7...D0AJ1D7...D0运算器单元S3输入设备单元...CNC_TR1C_TR2B_ALURDB_SW......SW16...SW11...CN)(S3SW10SW9(C_TR1)(C_TR2)SW7(B_ALU)SW4(CS)SW3(B_SW).开关组单元D0...D7时序信号发生器单元总线单元

图1.2 运算器组成实验接线图

2

2、先置相关的控制信号为初始态,即使运算器和输入设备的输出都为高阻态(B_ALU=1、B_SW=1),“输入设备单元”中的RD信号可以一直为低电平(RD=0),暂存器TR1和TR2的门控信号都为低电平(C_TR1=0、C_TR2=0)。

3、通过“输入设备单元”的数据开关向暂存器TR1中置数。 ① 拨动8位数据开关形成一个8位二进制数。(如01100010)。

② 数据开关上的数据输出至总线(B_SW=0),打开暂存器TR1的门控信号(C_TR1=1)。

③ 按动微动开关KK2,产生一个T4脉冲,将数据开关上的数据(01100010)打入到TR1中。然后关掉暂存器TR1的门控信号(C_TR1=0)。

4、通过“输入设备单元”的数据开关向暂存器TR2中置数。 ① 拨动8位数据开关形成一个8位二进制数。(如10101101)。

② 数据开关上的数据输出至总线(B_SW=0),打开暂存器TR2的门控信号(C_TR2=1)。

③ 按动微动开关KK2,产生一个T4脉冲,将数据开关上的数据(10101101)打入到TR2中。然后关掉暂存器TR2的门控信号(C_TR2=0)。

5、关掉数据开关的输出三态门(B_SW=1),打开运算器的数据输出三态门(B_ALU=0),使运算器输出至总线上。此时,改变运算器的控制信号S3、S2、S1、S0、M及CN的状态,就可获得不同的运算结果。参照表1.1其逻辑功能表。

如:先检验TR1和TR2中打入的数是否正确,可将S3、S2、S1、S0及M分别置为1、1、1、1、1时总线上显示的为TR1中的数;置成1、0、1、0、1时则显示的为TR2中的数。

五、实验要求

1、做好预习,掌握ALU的功能特性,并熟悉本实验中所用的控制开关的作用和使用方法。

2、置数TR1=62H,TR2=ADH,改变运算器的功能设置,观察运算器的输出,记录到下表1.2中,并进行理论分析,得出结论。

表1.2

DR1 DR2 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H 62H

S3S2S1S0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 M=0(算术运算) Cn=1无进位 理论值 实验值 F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= F=62H F=EFH F=72H F= F= F= F= F= F= F= F= F= F= F= F= F= Cn=0有进位 理论值 F=63H F=F0H F=73H F= F= F= F= F= F= F= F= F= F= F= F= F= 实验值 F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= M=1 (逻辑运算) 理论值 F=9DH F=10H F=8DH F= F= F= F= F= F= F= F= F= F= F= F= F= 实验值 F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= F= ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH ADH 3

实验二 静态存储器实验

一、实验目的

1、掌握静态随机存储器RAM的工作特性及使用方法。

2、了解半导体存储器存储和读出数据的方法。

二、实验设备

TWL-PCC计算机组成原理教学实验系统一台,排线若干;PC微机一台(选配)。

三、实验原理

SRAM通路图见图2.1,由一片6116构成,其容量为2048×8位。6116的A10-A8脚接地,只有A7-A0地址使用,实际使用存储容量为256字节。存储器的地址线A7-A0、数据线D7-D0、控制线片选线CS、写线WE及输出使能线OE均由排针引出,供用户接线。6116功能表见表2.1所示。

表2.1 6116功能表 状态 未选中 禁止 读出 写入 写入 CS 1 0 0 0 0 OE X 1 0 1 0 WE X 1 1 0 0 D7~D0 高阻抗 高阻抗 数据读出 数据写入 数据写入 存储器的地址由“地址寄存器单元”给出。地址寄存器的输入和存储器的数据都接到内总线上,由“输入设备单元”的数据开关经三态门连接到总线上分时给出地址和数据。地址寄存器的打入时钟是由C_AR和T3脉冲相与得到。

.D7D0CSOEWE存储器单元A7A7地址总线显示灯CSOEWEA10 - A8D7 - - - - -D0RAM(6116)A7 - - - - -A0A0A0Q7 - - - Q0C_ART3 AR(273) ANDCPD7 - - - - D0地址寄存器单元数据总线D7D0B_SWRDB7 - - - B0G三态门(245)A7 - - - - A0数据开关输入设备单元.

图2.1 静态存储器通路图

四、实验步骤

1、连接实验线路。参考实验连线图如图2.2所示。仔细检查无误后,接通电源。

2、连续写存储器。给00H、01H、02H、03H、04H地址单元分别写入数据AAH、BBH、CCH、DDH、EEH。 ① 写地址。关存储器的片选线(CS=1),CLR=1,WE=1、OE=1,打开数据开关的输出三态门(B_SW=0、RD=0), 此时数据开关中的数输出占领总线,将数据开关的数置为00H(00000000),打开地址寄存器打入门控信号(C_AR=1),然后按动微动开关KK2产生T3脉冲,即将00H打入到地址寄存器中,同时地址总线指示灯显示。

4

② 写数据。关掉地址寄存器的门控信号(C_AR=0),将数据开关置为AAH(10101010),打开存储器的片 选线(CS=0),将写线WE进行1→0→1操作,此时数据开关中的数AAH以被写到存储器的00H地址单元中。

③ 重复①②,分别在01H、02H、O3H、O4H地址单元中写入数据BBH、CCH、DDH、EEH。

3、连续读存储器。将存储器00H、01H、02H、03H、04H地址单元中的数分别读出,观察读出的结果与 写入结果是否一致。

① 写地址。CS=1,CLR=1,WE=1、OE=1,打开数据开关的三态门(B_SW=0、RD=0),此时数据开关中的 数输出占领总线,将数据开关的数置为00H(00000000),打开地址寄存器打入门控信号(C_AR=1),然后按动微动开关KK2产生T3脉冲,即将00H打入到地址寄存器中,同时地址总线指示灯显示。

② 读数据。B_SW=1, CS=0,置读线有效OE=0,总线显示的即为从存储器00H地址单元读出的数据AAH。 ③ 重复①②,分别读出01H、02H、O3H、O4H地址单元中的数据,观察与写入的数据是否一致。

.时序信号总线单元发生器单元7D.........KK2+T30DA7...............元A0单...线地址寄存器A7A0D7...D0D7...D0总单元存储器单元输入设备单元统C_AROEWECSRDB_SW系SW17SW6SW5SW4SW2SW3(CP)(OE)(WE)(CS)(SWB)(B_SW)开关组单元.

图2.2 静态存储器实验接线图

4.完成下表

数据输入 数据输出 00H 0000 0000 1111 1111 FFH 01H 0000 0001 1111 1110 FEH 02H 0000 0010 1111 1101 FDH 03H 0000 0011 1111 1100 FCH 04H 0000 0100 1111 1011 FBH 05H 0000 0101 1111 1010 FAH 06H 0000 0110 1111 1001 F9H 07H 0000 0111 1111 1000 F8H 08H 0000 1000 1111 0111 F7H 09H 0000 1001 1111 0110 F6H 0AH 0000 1010 1111 0101 F5H 0BH 0000 1011 1111 0100 F4H 0CH 0000 1100 1111 0011 F3H 0DH 0000 1101 1111 0010 F2H 0EH 0000 1110 1111 0001 F1H 0FH 0000 1111 1111 0000 F0H

5

实验三 时序发生器及启停电路实验

一、实验目的

1、掌握时序发生器的组成原理。 2、了解时序信号对计算机的作用。

二、实验设备

1、TWL-PCC计算机组成原理教学实验系统一台,排线若干。 2、PC微机或示波器一台(选配)。

三、实验原理

计算机的工作需要一个时序发生器来产生周期节拍、时标脉冲等时序信号的部件。时序部件包括有时钟源、环形脉冲发生器和启/停控制电路。

时钟源为计算机提供基准的时钟信号。环形脉冲发生器对主频进行分频,产生一组有序的间隔相等或不等的脉冲序列,来产生节拍电位和时钟脉冲信号。启/停控制电路控制向计算机发送主振脉冲信号。

信号源由实验板上“信号源单元”提供,电路原理图见图3.1。实验中用一个555震荡电路来产生主振脉冲信号源,其中还有一个可调电阻来调节输出频率,本信号源的输出频率大概在50Hz~300Hz之间。

时序发生器电路原理图如图3.2所示。启停控制电路由一个启停控制触发器Cr和一些门电路构成,使输出的时序信号OT1、OT2、OT3和OT4可控。其中Φ为时钟信号源。

启动按扭START是由单脉冲触发器构成,由实验台“时序发生器单元”中的KK1微动开关获得,还有一个二进制开关来控制单步运行和连续运行。接上信号源Φ,将二进制开关拨至“连续”(0)状态,一旦按动启动按扭START,运行触发器Cr就一个处于“1”状态,因而时序信号OT1~OT4将周而复始地发送出去,其波形图如图3.3所示。当二进制开关为“单步”(1)状态时,一旦按动启动按扭START,机器便处于单步运行状态,即此时只发送一个CPU周期时序信号就停机。

VCC 4708410K 75553 SY方波信号输出 47K 6215 224 104信号源单元.

图3.1 信号源原理图

6

OT4OT1OT2OT3ANDANDANDANDT4'T1'T2'T3'T4'Cr74LS74QDANDNOTANDNOTCLRD3Q3Q4Q3D2Q2Q2CLRAND74LS175NANDANDNANDNANDSTARTQ74LS74QDNANDNANDNAND.NOT+5VΦ单步连续1KKK11K时序发生器单元+5V+5V+5V CLR开关组单元.

.图3.2 时序发生器电路原理图

ΦOT1OT2OT3OT4CPU周期CPU周期.

图3.3 时序波形图

时序信号输出连至“时序发生器单元”中的OT1~OT4的排针端上,教学计算机系统中各实验单元所须的时序节拍信号均由“总线单元”中的T1~T4取得,做实验时将各实验单元用到的时序信号相应的由OT1~OT4连至T1~T4即可。

四、实验步骤

1、实验连线。将“信号源单元”的时钟输出信号SY连接至“时序发生器”单元中的Φ端上,接通电源。 2、将“时序发生器单元”中的二进制开关拨至“单步”或“连续”状态上,然后按动启动按扭START,用示波器或本系统配套的联机软件的示波器窗口观察测量OT1~OT4的输出波形。

五、实验要求

1、分析时序发生器的组成原理。

2、将“时序发生器单元”中的二进制开关拨至“连续”状态,按动启动键START,用示波器或联机软件观察OT1~OT4的波形,画出他们的波形图,比较它们的相互关系,并标注测量所得的脉冲宽度。

3、同样将时序状态置为“连续”状态,信号源Φ本单元的KK2输出的手动给出,按动启动键START,然后连续按动KK2,观察时序信号的输出情况。

7

实验四 总线及数据通路组成实验

一、实验目的

1、理解总线的概念、作用和特性。 2、掌握用总线控制数据传送的方法。 3、进一步熟悉教学计算机的数据通路。

4、掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法。

5、锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。

二、实验设备

TWL-PCC计算机组成原理教学实验系统一台,排线若干;PC微机一台(选配)。

三、实验原理

总线用来连接各个功能部件,本实验的数据通路图如图4.1。各个部件都有自己的输入输出控制信号。各个部件的控制信号都需要是连接到“开关组单元”的各个独立的二进制开关上来手动控制。连接到总线上的地址寄存器只有输入线,其输出直接连接到存储器的地址,用于锁存需读写的存储器的地址。

本实验中时序信号用到了T3和T4信号,可将“信号源单元”的时钟输出SY接到“时序发生器单元”的Φ上,将OT3和OT4分别连接到“总线单元”中相应的T3和T4端上,二进制开关拨至“单步”状态,然后每按动一次启动键START,就会顺序产生一个T3、T4时序信号。

根据挂接在总线上的几个部件,设定实验要求:将存储器10H地址存入数据93H,然后将存储器10H地址单元中存储的数据送输出单元显示,同时也存入到R0寄存器中。

.D7D0CSOEWECSOEWEA10 - A8D7 - - - - -D0RAM(6116)A7 - - - - -A0存储器单元A7A7地址总线显示灯A0A0D7D0B_R0Q7 - - - Q0Q7 - - - Q0C_ART3 AR(273) ANDR0(374)D7 - - - - D0OECPANDCPD7 - - - - D0C_R0T4地址寄存器单元数据总线D7D0D7D0寄存器堆单元B_SWRDB7 - - - B0GD7 - - - - D0三态门(245)A7 - - - - A0锁存译码(GAL)a b c d e f gORB_LEDWR数据开关输入设备单元输出设备单元.

图4.1 总线实验数据通路图

四、实验步骤

1.本实验有两种连线方式:

①各个单元的控制信号分别由不同的开关独立控制,连线参考图见图4.2。

②同后边模型机实验相同,存储器、I/O设备有各自的片选线,但是共用一根读线和一根写线。

2、由于有不同的连线图就有不同的执行流程,按照第一种连线方式,完成实验任务须有以下几步操作: ① 数据输入开关置10H打入到地址寄存器。 8

② 数据输入开关置数据93H打入到存储器。

③ 存储器输出数据到输出设备同时打入到R0寄存器。

3、连接实验线路。参考实验连线图如图4.2所示。仔细检查无误后,接通电源。 4、置所有控制信号为初始态:输入设备(B_SW=0,RD=0)、地址寄存器(C_AR=0)、存储器(CS=1、OE=1、WE=1)、输出设备(CS=1、WR=1)、通用寄存器R0(B_R0=1、C_R0=0),CLR=1。 5、“时序发生器单元”中的二进制开关拨至“单步”状态。

① 数据开关置数10H,B_SW=1、RD=0, C_AR=1,按动时序启动键START,产生的T3节拍脉冲将总线上 的数据打入到地址寄存器中。关掉地址寄存器打入门控信号(C_AR=0)。

② 数据开关置93H,CS=0、OE=1、WE=1→0→1,此时将总线上的数据93H写入到存储器当前地址单元中。 关掉输入设备三态门(B_SW=1),关掉存储器片选线(CS=1)。

③ 存储器片选有效读有效(CS=0、WE=1、OE=0),输出设备片选有效写有效(CS=0、WR=1→0→1), 此时存储器中的数打入到输出设备的数码管中显示,同时,打开通用寄存器RO的打入门控信号(C_R0=1),按动时序启动键START,产生的T4节拍脉冲将总线上的数据打入到通用寄存器R0中。然后关存储器(CS=1),关通用寄存器打入门控信号(C_R0=0)。

五、实验要求

1、在数据传送过程中,发现了什么故障?记录故障现象,排除故障的分析思路,故障定位及故障的性质。 2、以第二种实验接线方法实现本实验要求,即存储器、I/O设备(包括输入设备和输出设备)有各自的片选线,但是共用一根读线和一根写线的方式连接实验线路,分析有什么区别,编写执行流程,写出详细的实验步骤,记录实验数据。

.D0...D7......D0...D7......总线单元D0...D7......D0...D7......T3T4A7OT3OT4Φ系统总线单元时序信号发生器单元地址寄存器单元SY信号源单元.

图4.2 总线实验接线图

......D7D0输入设备单元B_SWRDSW3 (B_SW)SW2寄存器堆单元B_R0C_R0SW7SW8输出设备单元B_LEDWRSW9SW10存储器单元CSWEA7A0OESW4 (CS)SW5 (WE)SW6 (OE).........A0C_ARSW17开关组单元

9

实验五 控制器实验

一、实验目的

1、掌握计算机控制器的功能、组成及其不同的结构。 2、掌握微程序控制器的组成、工作原理。 3、学习微程序控制器的设计与实现。 4、掌握设计指令的执行流程。

5、熟悉本教学计算机微程序的编制、写入,观察微程序的运行。

二、实验设备

TWL-PCC计算机组成原理教学实验系统一台,排线若干;PC微机一台(选配)。

三、实验原理

1.控制器原理

微程序控制器的基本思想可以概括为两点:

①将控制器所需要的微命令,以微代码的形式编成微指令,存入一个控制存储器中,这个控制存储器由只读存储器ROM构成。在计算机运行时,从控存中取出微指令,用其所包含的微命令来控制有关部件的操作。

②将每种机器指令分解为若干条微操作序列,用若干条微指令来解释一条机器指令。再根据整个指令系统的需要,编制出一套完整的微程序,预先存入控存中。

微程序控制器的工作原理是将一条微指令分成两部分:控制命令字段和下址字段,用微指令的控制命令字段来提供一条机器指令的一个执行步骤所需要的控制信号,用这条微指令的下址字段来指明下一条微指令在控制存储器中的地址,用于从控制存储器中读出下一条微指令。

微程序控制器的组成结构包括:控制存储器(CM)、微指令寄存器(μIR)、微地址寄存器(μAR)及后 续微地址形成电路。

2.单元电路组成

微程序控制器组成原理图如图5.1,其逻辑框图则如图5.2所示。该单元主要由以下部件组成: (1)控制存储器(CM)

2

控制存储器(CM)由4片2816(2K×8位)EPROM组成,具有掉电保护功能,用于存放32位微指令。

将4片2816并联起来,就构成了容量为256×32位控制存储器,即可以存放256条微指令。 “微控器单元”有一个三档拨动开关,用来选择控制器处于编程/校验/运行状态。“编程”状态即手动给控存写数据,“校验”状态即手动校验控存给定地址中的数据。教学计算机运行时须拨在“运行”状态。 控存的4片2816的片选信号CS分别由单片机控制单元的P3.2、P3.3、P3.4、P3.5控制。平时都为有效状态,只有联机操作时上位机对控存进行读写时,会关掉所有片选,然后依次打开每片进行读写操作。而4片2816的输出使能OE、写信号WE均由编程单元电路根据编程开关的状态及联机的情况控制产生并输出,在“编程”状态时全为写有效,在“校验”状态时全为读有效,在“运行”状态时全为读有效,但当联机操作时上位机也会控制读写状态。

4片2816的存储器的地址A7~A0分别并联到一起。它们在手动编程/校验时(“编程”状态或“校验”状态)由手动微地址锁存器(74LS374)提供,它由排针MCJ1的MA7~MA0输入在T1时刻打入锁存;运行时(“运行”状态下)由微地址寄存器(μAR)提供;或联机情况下由单片机控制单元来给出。8个指示UA7~UA0等用来实时显示微地址。

控存的数据输入/输出作为32位微指令寄存器(μIR)的输入端,或由32位微代码输入开关MK31~MK0经三态门(74LS245)作为输入,三态门由编程开关电路控制,

10

.

0AU 灯 示 显 址 元 R地 L C单组微 关 74LS245G开 V 5 +7 A0ESU1ES2ES3ES4ESEEE+5VQQERQQEQQEEQQE12RRR12RR12R5ESPP12RPPPPPP12121212RKKRRKKRRKKRRKKRLLLLLLLLLLLLLLLLCCDCDCCCDCDCCCDCDCCCDCDC1122112211221122DL50WTY码2T41WTY译0C元3 0SE2WTY81ACO2C0 1单D-T3WTY3 线--112YC --总 0-Y 6- -1- TNI_C-8 7 62A87ARA_CY码D 95A5RI_CY译0 .B 034 1EPAWiR_CY8043.2RT_CY3.D 1. M...3P元21B.... ..1RT_CY 3单120SE.YBKR7ACO30LLD 0 P机YCC MV 5D-+ TNI_B3--2片 .77--3CP_BY P单2-6 6- -DR_BY1- -8 75码0SAL 2A8SR_BY译47AD 49APS_BY 7 038 1E关元RS_BY318AD AW21.M.开单ULA_BY. .....12..程制YA . 编控00SEY5及KR1 ACOLLDV CCM 50-FCI+D 3-- AI7-- -D/U2 6- S-1- L8 -7PS_C 2A847AD 9CP_C7 A 0DR1E6AW1 EW..D ..M..... .. EKM/OIOL3 0SE00CKR2ACOQDLLDV PCCCM 50 --0+D-3 --4 A--MNC7 7 -- 3--- M2-S---S 6- -1-L --- 4 70SL-8 74 2A8777AQDM7A1S7D 9 A2S 01E3S4 AW.2..DM .....V. ..5 +1 3D M 74LS24G574LS24G574LS24G574LS24G5MK31MK24MK23MK16MK15MK8MK7MK032位微代码输入开关图5.1 微程序控制器原理图

.

11

.

指令寄存器IRS3...ICFB_ALU...B_INTC_TR1...C_INTTW4...TW1地址转移逻辑A字段译码B字段译码C字段状态条件OE三态缓冲器(8位)SE5...SE0CLR微命令寄存器(24位)微地址寄存器(8位)CLKT2微指令寄存器uIR32位微代码开关32位三态缓冲器32位微代码显示灯8位微地址显示灯OED31 - - - D0CSOEWE控制存储器CM(256x32位)A7 - - - A032位OE手动微地址锁存器CLKT1控制单元MA7- - - MA0CLR开关.

图5.2 微程序控制器逻辑框图

编程开关处于“编程”状态时三态门打开,其他状态都关闭。同时,有32位指示灯MD31~MD0显示控存32位数据线。在联机状态下可由PC机控制单片机单元读写数据线。 (2)微指令寄存器(μIR)

微指令寄存器(μIR)为32位,分为24位的微命令寄存器和8位的微地址寄存器(μAR)合起来构成。24位微命令寄存器由三片锁存器(74LS273)组成。8位微地址寄存器由4片2D触发器(74LS74)组成。这些锁存器的打入时钟为T2时钟锁存,清零端全接至开关组单元的总清CLR开关上。所以,当总清开关清零后,微命令寄存器及微地址锁存器都被清零。

8位微地址寄存器的输出经过一个三态门(74LS245)连接到控存的地址线上。

微指令的编码采用直接表示法和分段译码表示法相结合,微指令第M31~M17位为直接输出的控制信号,M16~M14、M13~M11、M10~8分别用一个74LS138译出多个控制信号。微指令格式详细说明见后。 (3)指令译码及后续微地址形成电路

本实验微程序控制器的后续微地址形成方法采用功能分支转移,后续微地址直接包含在当前微指令的代码中,即32位微指令寄存器的后8位M7~M0,然后根据取来的机器指令的操作码(锁存于指令寄存器IR中)及M10~M8的状态条件进行地址转移逻辑判断,从而找到该条指令执行过程的一段微程序的入口地址,从而实现分支转移。根据以上分析,可将微程序的后继微地址的控制原理总结如下:

①当条件测试字TW0有效(TW0=0)时,根据机器指令的操作码(OP)I7—I4进行分支转移,产生该条指令的微程序入口地址。

当I7 I6 I5 I4=0 0 0 0时,SE3 SE2 SE1 SE0=1 1 1 1,对后续微地址的后四位不会产生强制,原来的后续微地址的值不变。

当I7 I6 I5 I4中一个或一个以上位为1时,则SE3~SE0对应位为0,同时就会对后续微地址相应位强制为1,从而使后续微地址发生改变。例如:取完指令之后,根据TW0发生分支转移,假设后续微地址为10H。

操作码OP(I7~I4)为0000时,指令的微程序入口地址为10H; 操作码OP(I7~I4)为0001时,指令的微程序入口地址为11H; 12

操作码OP(I7~I4)为0101时,指令的微程序入口地址为15H; 操作码OP(I7~I4)为1011时,指令的微程序入口地址为1BH。

②当条件测试字TW1有效(TW1=0)时,根据机器指令码I1 I0进行译码,转移至相应指令的微程序段,主要应用于含寻址方式码(MOD)的机器指令,在这些指令中,I5I4为寻址方式码(MOD),I7I6和I1I0为操作码OP。

③当条件测试字TW2有效(TW2=0)时,根据进位标志进行转移,主要应用于条件转移指令JC。 ④当条件测试字TW3有效(TW3=0)时,根据SWB、SWA状态进行转移,主要应用于控制台操作指令。 ⑤当条件判断ICF有效(ICF=0)时,根据INT是否有效,确定转移到中断响应微程序入口地址。 现在介绍编程开关及控制电路。“微控器单元”设有一个编程开关,它有三种状态:编程、校验、运行。 ●当编程开关处于“编程”状态时,可以实现手动输入微码,此时,控存执行写操作,控存(2816)写 有效(片选CS=0,写使能WE=0,输出使能OE=1),后续微地址输出三态门(245)关闭(使能信号G=1),控存的地址由手动微地址锁存器(374)提供,该锁存器输出有效(输出使能信号OE=0),控存的数据则由32位的微代码输入开关输入,微代码输入开关的三态门(245)打开(使能信号G=0)。

● 当编程开关处于“校验”状态时,可以实现手动校验微代码,此时,控存执行读操作,控存(2816) 读有效(片选CS=0,输出使能OE=0,写使能WE=1),后续微地址输出三态门(245)关闭(使能信号G=1),控存的地址由手动微地址锁存器(374)提供,该锁存器输出有效(输出使能信号OE=0),32位微代码输入开关的输出三态门(245)关闭(使能信号G=1),控存的数据则由32位的微代码显示灯显示输出。

●当编程开关处于“运行”状态时,可以实现运行微程序,此时,控存执行的是读操作,控存(2816) 读有效(片选CS=0,输出使能OE=0,写使能WE=1)。控存的地址是由后继微地址修改逻辑提供,后续微地址三态门(245)打开(使能信号G=0),手动微地址锁存器(374)输出关闭(输出使能信号OE=1),32位微代码输入开关的三态门(245)关闭(使能信号G=1)。 3.微指令格式

微指令字长共32位,其定义及控制顺序如表5.1所示。

表5.1 微指令格式

313029282726252423222120191817161514131211109876543210.S3S2S1S0MCNCPIO/MWERDC_PCC_SPU/DIAICFA2A1A0B2B1B0C2C1C0uA7uA6uA5uA4uA3uA2uA1uA0各控制位作用解释如下:

* M31~M25位,被定义为控制信号S3、S2、S1、S0、M、CN、CP。 * M24~M22位,被定义为控制信号IO/M、WE、RD。 * M21位,被定义为控制信号C_PC。 * M20位,被定义为控制信号C_SP。 * M19位,被定义为控制信号U/D。

* M18位,被定义为控制信号IA。它作为中断控制单元的开中断控制,高电平有效。 * M17位,被定义为控制信号ICF。它作为一条机器指令的结束标志,低电平有效。 * M16~M14位:经过一个3:8译码器译出7位控制部件总线输出信号。

* M13~M11位:经过一个3:8译码器并反向后译出6位控制部件输入门控信号。

* M10~M8位:经译码器译出5位条件测试字信号及PC与堆栈指针寄存器的装载控制信号。 * M7~M0位,被定义为微地址uA7~uA0。

四、实验步骤

(1)图5.5为一个设计好的简单指令系统所对应的微程序流程图。其所对应的微程序入口地址影射表见表5.5所示。按照微指令流程图将全部微程序按微指令格式翻译成二进制代码,将得到如表5.6所示的本指令系统的微程序清单。

13

控制台操作00H00TW3PWECONS=0010HPC→AR,PC+112HSW→TR110HPRUNCONS=1113HPRDCONS=0111HPC→AR,PC+115HRAM→TR101H14H16HALU→RAMALU→LED运行01HPC→AR,PC+102HRAM→IRTW020HINOUTADDNOTSTAJMP20H21H22H23H24H25HPC→AR,PC→AR,PC→AR,PC+1PC+1PC→AR,PC→AR,PC+1R0→TR1PC+1PC+103H04H05H09H0AH0CHRAM→ARRAM→ARRAM→ARALU→R0RAM→ARRAM→PC0DH0EH06H0BHSW→R0R0→LEDRAM→TR201R0→RAM0107H0101R0→TR10108HALU→R001 图5.5 微程序流程图

表5.5 微程序入口地址影射表

指 令 编码 入口地址 序号 1 IN R0 0000 0000 20H 2 OUT RO 0001 0000 21H 3 ADD R0,ADR 0010 0000 22H 4 NOT R0 0011 0000 23H 5 STA ADR,R0 0100 0000 24H 6 JMP ADR 0101 0000 25H

14

表5.6 基本指令的微程序清单 微址 S3 S2 S1 S0 M CN CP IO/M WE RD C_PC C_SP U/D IA ICF A B C 下址 操作功能 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 10 11 12 13 14 15 16 20 21 22 23 24 25 0 0 0 0 0 0 1 1 1 1 0 0 0 0 1 000 000 001 00010000 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00000010 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 100 100 00100000 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 101 000 00001101 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 101 000 00001110 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 101 000 00000110 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 010 000 00000111 0 0 0 0 0 0 1 1 1 1 0 0 0 0 1 100 001 000 00001000 1 0 0 1 0 1 1 1 1 1 0 0 0 0 1 001 011 000 00000001 0 0 0 0 1 0 1 1 1 1 0 0 0 0 1 001 011 000 00000001 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 101 000 00001011 0 0 0 0 0 0 1 1 0 1 0 0 0 0 1 100 000 000 00000001 0 0 0 0 0 0 1 1 1 0 1 0 0 0 1 000 000 101 00000001 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 000 011 000 00000001 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 100 000 000 00000001 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00010010 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00010101 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 000 001 000 00010100 0 0 0 0 0 0 1 1 1 1 0 0 0 0 1 000 000 000 00000001 1 1 1 1 1 0 1 1 0 1 0 0 0 0 1 001 000 000 00010000 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 000 001 000 00010110 1 1 1 1 1 0 1 0 0 1 0 0 0 0 1 001 000 000 00010001 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00000011 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00000100 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00000101 0 0 0 0 0 0 1 1 1 1 0 0 0 0 1 100 001 000 00001001 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00001010 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 110 101 000 00001100 空操作 PC->AR,PC+1 RAM->IR RAM->AR RAM->AR RAM->AR RAM->TR2 RO->TR1 ALU->R0 ALU->R0 RAM->AR RO->RAM RAM->PC SW->R0 R0->LED PC->AR,PC+1 PC->AR,PC+1 SW->TR1 空操作 ALU->RAM RAM->TR1 ALU->LED PC->AR,PC+1 PC->AR,PC+1 PC->AR,PC+1 RO->TR1 PC->AR,PC+1 PC->AR,PC+1 图5.5微程序流程图中一个矩形方框表示一条微指令,方框中的内容为该条指令执行的微操作,右上角的数字是该条指令的微地址,向下的箭头指出下一条要指向的微地址。

(2)连接实验线路图,实验接线参考图如图5.6所示。仔细检查接线无误后,接通电源。 (3)写微代码

方法一:脱机手动写入。

①将“微程序控制器单元”右上角的编程开关置为“编程”状态。 ②将“时序发生器单元”中的运行状态开关置为“单步”状态。 ③在“开关组单元”用二进制模拟开关置微地址到MA7~MA0。

④在MK31~MK0开关组置32位微代码,32位微代码显示灯用于指示所置开关状态,开关量为“0” 时灯灭,开关量为“1”时灯亮。

⑤按START,启动时序,将32位微代码写入控存2816中由微地址MA7~MA0所指定的相应单元。 ⑥给出不同的微地址,重复③~⑤步骤,即可将微指令代码一条条装入控存中。

方法二:联机装入。参见本书第一部分第三章“联机软件使用说明”。

(4)校验微代码

方法一:脱机手动校验。 15

①将“微程序控制器单元”右上角的编程开关置为“校验”状态。 ②将“时序发生器单元”中的运行状态开关置为“单步”状态。 ③在“开关组单元”用二进制模拟开关置微地址到MA7~MA0。 ④按动START按键,启动时序,即将控存2816中由微地址MA7~MA0所指定的相应单元中代码读出, 由32位微代码指示灯显示其状态。灯亮为“1”,灭为“0”。

⑤给出不同的微地址,重复③~④步骤,即可校验每个微地址存入的微代码。

方法二:联机装入。参见本书第一部分第三章“联机软件使用说明”。

(5)运行微指令 ●单步运行

①将“微程序控制器单元”右上角的编程开关置为“运行”状态。 ②将“时序发生器单元”中的运行状态开关置为“单步”状态。 ③拨动“开关组单元”中的CLR总清开关(1→0→1),使微地址寄存器UA7~UA0清零,从而使运 行入口微地址从00H开始。

④每按动一次START按键,即读出一条微指令代码,然后停机。此时,微地址显示灯指示的为下一 条要执行的微地址,微代码显示灯MD31~MD0的状态(为“0”时灯灭)指示下一条微地址所读出的微代码。 ●连续运行

①将“微程序控制器单元”右上角的编程开关置为“运行”状态。 ②将“时序发生器单元”中的运行状态开关置为“连续”状态。

③拨动CLR总清开关(1→0→1),使微地址寄存器清零,从而使运行入口微地址从00H开始。

④按动START按键,启动时序电路,则可以连续读出(执行)微指令代码。 ⑤拨动“开关组单元”中的CLR总清开关(1→0→1),或将“时序发生器单元”中的运行状态开关 拨为“单步”状态都可以使系统停机。

五、实验要求

1、将表5.6中的微代码写入到控存并校验。 2、观察单步执行的流程,解释其现象。

3、从图5.5微程序流程及上述执行现象可看出,微程序流程图中的很多微指令都没有走到,为什么?根据流程图如何在出现分支时走到其他分支入口?(提示:由微控器单元的微地址强制位SE5~SE0实现。)

.总线单元T1T2微程序控制器单元MCJ1MA7...MA0......OT1OT2D7...D0时序信号发生器单元Φ输入设备单元RDB_SWSYSW4(CS)SW3(B_SW).信号源单元开关组单元

图5.6 微程序控制器实验接线图

16

本文来源:https://www.bwwdw.com/article/9v3f.html

Top