Vivado 用户约束sdc文件常用命令
更新时间:2024-05-03 04:02:01 阅读量: 综合文库 文档下载
- vivado推荐度:
- 相关推荐
Vivado 用户约束sdc文件常用命令
1.外部时钟输入的约束如下:
create_clock -period (clock period) -name (clock
name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)]
2.已建立的时钟改名
create_generated_clock -name (clock name) [get_pins (path)] 3.input/output delay设置
set_input_delay -clock [get_clocks (clock name)] (delay time ns) [all inputs]
set_output_delay -clock [get_clocks (clock name)] (delay time ns) [all outputs] 4.建立时钟组
set_clock_groups -name (group name) -asynchronous -group {(clock name) (clock name) }
set_clock_groups -name (group name) -asynchronous -group [get_clocks (clock name)] 5.管脚分配
set_property PACKAGE_PIN (pin location) [get_ports (port name)]
set_property IOSTANDARD (level:LVDS,LVCMOS18,LVCMOS33 etc.) [get_ports (port name)] 6.管脚作为时钟线
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets (port_name)] 7.管脚拉高
set_property PULLUP true [get_ports (port name)]
8.当vivado报错说有某些管脚没有分配时,加下面两句
set_property SEVERITY {Warning} [get_drc_checks NSTD-1] set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
正在阅读:
Vivado 用户约束sdc文件常用命令05-03
洁白的茉莉作文300字07-08
资产评估国际发展趋势与发展方向研究04-20
营销提升培训方案06-24
库房管理制度及库房管理员岗位职责08-30
2012年获准换证地质灾害治理工程施工甲级资质单位名单08-18
作文:中秋节作文开头05-02
关于交朋友的短文章5篇03-24
公司内部规章制度学习心得体会04-27
- 多层物业服务方案
- (审判实务)习惯法与少数民族地区民间纠纷解决问题(孙 潋)
- 人教版新课标六年级下册语文全册教案
- 词语打卡
- photoshop实习报告
- 钢结构设计原理综合测试2
- 2014年期末练习题
- 高中数学中的逆向思维解题方法探讨
- 名师原创 全国通用2014-2015学年高二寒假作业 政治(一)Word版
- 北航《建筑结构检测鉴定与加固》在线作业三
- XX县卫生监督所工程建设项目可行性研究报告
- 小学四年级观察作文经典评语
- 浅谈110KV变电站电气一次设计-程泉焱(1)
- 安全员考试题库
- 国家电网公司变电运维管理规定(试行)
- 义务教育课程标准稿征求意见提纲
- 教学秘书面试技巧
- 钢结构工程施工组织设计
- 水利工程概论论文
- 09届九年级数学第四次模拟试卷
- 约束
- 命令
- 常用
- 文件
- 用户
- Vivado
- sdc
- 财预163 号关于印发《中央对地方专项转移支付绩效目标管理
- 软件工程试卷 - 副本 2
- 会计 答疑
- 规范汉字书写大赛资料及答案
- 第二章 供求理论
- 饲料和饲料添加剂生产企业从业人员法规考核试题
- 《管理经济学》统考计算题训练
- 湖北省宜昌市当阳一中2017-2018学年高一下学期期末考试物理试卷
- 【考试必备】2018-2019年最新浙江省桐乡市高级中学初升高自主招
- 城市公交扒窃犯罪防治
- 大学生法律意识调查问卷
- 电机容量选择及调速电阻器计算
- 员工关系管理复习资料
- 2014福建中考数学l压轴题
- SEAS 7.5 产品技术方案白皮书
- 《国家公务员制度》(专)模拟试题
- BP神经网络实验_Matlab
- 中国象棋活动小组教案51课时
- 小班幼儿体格锻炼计划
- 开题报告