ModelSim与QuartusII的结合
更新时间:2023-10-22 13:39:01 阅读量: 综合文库 文档下载
实验一、ModelSim与QuartusII的结合
一、实验目的
1.学习设置从Quartus II中运行ModelSim。 2.学习使用ModelSim进行功能仿真。 3.熟悉ModelSim软件。
4.熟悉Verilog硬件描述语言。
二、实验内容
本实验通过设计一个4位计数器学习设置从Quartus II中运行ModelSim,学习使用ModelSim进行功能仿真和熟悉ModelSim软件及Verilog硬件描述语言。
三、实验步骤
1.打开Quartus II 5.0软件,选择File | New Project Wizard新建一个工程,在进入选择其他EDA工具对话框时与前面实验不同,这里选择“EDA simulation tool”中的“ModelSim(Verilog)”项和下面的“Run this tool automatically after compilation”。如图1-1所示。最后完成工程建立。
图1-1 选择EDA仿真工具ModelSim(Verilog)
2.在该工程文件下新建顶层设计文件“count4.v”的源代码如下所示。
module count4(clk,reset,out); //4位计数器模块
input clk,reset; output[3:0] out;
reg[3:0] out;
always @(posedge clk) begin if (reset) out<=0; else
out<=out+1; end
endmodule
ModelSim仿真用到的测试文件“count_tp.v”源码为:(注意,该文件不加入工程中)
`timescale 1ns/1ns //定义时延单位1ns和时延精度为1ns(即精确到1ns) module count_tp; //测试模块
reg clk,reset; //输入激励信号定义为reg型 wire[3:0] out; //输出信号定义为wire型
parameter DELY=100;
count4 mycount(.clk(clk),.reset(reset),.out(out)); //调用测试对象count4
always #(DELY/2) clk=~clk; //产生时钟波形 initial //激励波形定义 begin
clk=0; reset=0; #DELY reset=1; #DELY reset=0; #(DELY*20) $finish; end
initial $monitor($time,,,\结果显示 endmodule
3.为ModelSim仿真设置参数。选择Assignments | EDA Tool Settings…,选择左栏的“Simulation”,如图1-2所示。“ModelSim(Verilog)”和下面的“Run this tool…”是我们建立工程时设置的结果。如果那时没设置现在可以设置。注意“Generate netlist for function simulation only”选项决定是功能仿真还是时序仿真。这里没选,是功能仿真。然后,我们单击下方的“More Settings”按钮,弹出“More Settings”对话框如图1-3所示。选择“Test Bench mode”,选择测试文件“count_tp.v”并输入测试模块名称“count_tp”。都在我们新建工程文件夹下,设置测试时间为3us,单击OK。完成设置。
图1-2 ModelSim仿真参数设置
图1-3 选择测试文件
4.进行编译,并会自动调用ModelSim进行仿真。选择Tools | Compiler Tool,开始编译,我们会发现QuartusII状态栏多出两项“EDA Netlist Writer”和“EDA Simulation Tool”。如图1-4所示。
图1-4 状态栏显示
运行ModelSim后会弹出“Finish Vsim”对话框,单击“否”。如图1-5所示。
图1-5 进入ModelSim
进入ModelSim环境,选择“View | Debug Windows”打开“Objects”、“Wave”、“list”窗口。
观察“Wave”窗口波形如图1-6所示。用“放大”、“缩小”可以方便观看波形。双击“Wave”波形窗口中输出信号“out”改变数据类型为“Unsigned”便于观察。
图1-6 仿真波形图
6.观察完毕,退出ModelSim后,QuartusII才完成全部编译。编译成功如图1-7所示。
图1-7 编译成功
正在阅读:
山西省运城市康杰中学2018届高考模拟(一)数学(理)及答案解析03-08
16秋东财《可行性研究》在线作业一01-18
2007江西九江某楼盘销售手册 - secret - 图文05-28
幼儿园妇女节观察记录《让孩子们学会付出,学会感恩》(五篇材料)08-22
浙江海盐县某地块项目可行性研究报告书03-11
儿童,小学英语故事08-25
个人读书感悟10-16
计算机基础知识试卷(单选题)附答案03-08
NSD500V系列作业指导书 - 图文10-16
- 多层物业服务方案
- (审判实务)习惯法与少数民族地区民间纠纷解决问题(孙 潋)
- 人教版新课标六年级下册语文全册教案
- 词语打卡
- photoshop实习报告
- 钢结构设计原理综合测试2
- 2014年期末练习题
- 高中数学中的逆向思维解题方法探讨
- 名师原创 全国通用2014-2015学年高二寒假作业 政治(一)Word版
- 北航《建筑结构检测鉴定与加固》在线作业三
- XX县卫生监督所工程建设项目可行性研究报告
- 小学四年级观察作文经典评语
- 浅谈110KV变电站电气一次设计-程泉焱(1)
- 安全员考试题库
- 国家电网公司变电运维管理规定(试行)
- 义务教育课程标准稿征求意见提纲
- 教学秘书面试技巧
- 钢结构工程施工组织设计
- 水利工程概论论文
- 09届九年级数学第四次模拟试卷
- QuartusII
- ModelSim
- 结合
- word、excel、cad中直径符号Φ该怎么打剖析
- 简述哈萨克语词汇文化
- 2019届高考物理一轮复习 第四章 曲线运动 万有引力与航天 第4节 万有引力与航天练习 新人教版
- 实验二 定时计数器8253工作方式
- 华中静脉产业园建设可行性研究报告-广州中撰咨询
- 奥巴马在美国学生开学典礼上的讲话
- 特级动火安全作业证 word版本 - 图文
- 新视野英语教程(读写教程第二版)第二册课文翻译 - 图文
- 自动控制原理试卷及答案
- 人教版五年级下册“分数的意义”教学设计“分数的意义”教学设计
- 2013年在职考研GCT语文阅读短文练习
- 生产计划与课程设计
- 农业地域类型 - 图文
- 错题集(会计基础)
- 《心理学》辨析题试题库
- 家庭教育对小学生心理健康发展的影响
- 3移动平均法
- 带瘤生存
- 生化工程考试题 复习题 试题 答案
- 新概念第2册单词 打印版带音标