数电实验报告(四)
更新时间:2024-06-01 05:37:01 阅读量: 综合文库 文档下载
计数器及其应用研究
一、 实验目的:
1.熟悉计数器的工作原理,掌握中规模计数器 (MSI)逻辑功能及其应用。
2.掌握计数器的级联方法,并会用中规模计数 器(MSI)实现任意进制计数器。 二 实验仪器
1. 万用表 一块 2. 直流稳压电源 一台 3. 函数信号发生器 一台 4. 双踪示波器 一台 5. 逻辑分析仪 一台 6. 数字电路实验板 一块 三.实验内容
1.用VHDL语言描述模50计数器。要求完成电路设计,进行电路仿真,并下载后作功能测试。将计数器时钟置为1HZ方波信号,输出接译码、显示电路,在数码管上观察输出状态变化。
2.设计一个计数型序列码产生电路,产生的序列码(输出Z)为1101000101。要求用FPGA实现,并在实验箱上测试其功能,时钟设置为1KHZ,在示波器上双踪观察并记录CP,Z的波形。 四.实验结果 1.VHDL语言描述
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity m50 is port (
clk : in bit; rst : in bit; en:in bit; rco:out bit;
q:out std_logic_vector(5 downto 0) ); end m50;
architecture one of m50 is
signal temp_q:std_logic_vector(5 downto 0); begin
process(clk,rst) begin
if (rst='0')then temp_q<=\ rco<='0';
elsif (clk'event and clk='1')then if(en='1')then
if(temp_q<\ temp_q<=temp_q+1; else
temp_q<=\ end if; else
temp_q<=\ end if; end if;
if(temp_q=\ rco<='1'; else rco<='0'; end if; end process; q<=temp_q; end one;
仿真波形: 功能仿真
时序仿真
2.VHDL语言描述 library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity xuliema is
port (
clk : in std_logic; z: out std_logic ); end xuliema;
architecture one of xuliema is
type state_type is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9); signal current_state,next_state:state_type; begin process begin
wait until (clk'event and clk='1'); current_state<=next_state; end process;
process(current_state) begin
case current_state is
when s0=> next_state<=s1; z<='1'; when s1=> next_state<=s2; z<='1'; when s2=> next_state<=s3; z<='0'; when s3=> next_state<=s4; z<='1'; when s4=> next_state<=s5; z<='0'; when s5=> next_state<=s6; z<='0'; when s6=> next_state<=s7; z<='0'; when s7=>
next_state<=s8; z<='1'; when s8=> next_state<=s9; z<='0'; when s9=> next_state<=s0; z<='1'; end case; end process; end one; 仿真波形 功能仿真
时序仿真
正在阅读:
数电实验报告(四)06-01
物业企业特种设备管理制度09-14
柳州南编组站行车工作组织04-23
快在重罚,痛在违法-写在《安全生产法》实施一周年之际(通用版)05-12
电脑死机的各种原因分析07-27
岗位聘任制合同(标准版)04-16
怡亚通:中国供应链整合图谱12-30
土木工程CAD 随堂练习01-09
历年全国1卷文科数学立体几何03-06
- 多层物业服务方案
- (审判实务)习惯法与少数民族地区民间纠纷解决问题(孙 潋)
- 人教版新课标六年级下册语文全册教案
- 词语打卡
- photoshop实习报告
- 钢结构设计原理综合测试2
- 2014年期末练习题
- 高中数学中的逆向思维解题方法探讨
- 名师原创 全国通用2014-2015学年高二寒假作业 政治(一)Word版
- 北航《建筑结构检测鉴定与加固》在线作业三
- XX县卫生监督所工程建设项目可行性研究报告
- 小学四年级观察作文经典评语
- 浅谈110KV变电站电气一次设计-程泉焱(1)
- 安全员考试题库
- 国家电网公司变电运维管理规定(试行)
- 义务教育课程标准稿征求意见提纲
- 教学秘书面试技巧
- 钢结构工程施工组织设计
- 水利工程概论论文
- 09届九年级数学第四次模拟试卷
- 实验
- 报告
- 中信鸿图教育幼儿园保教知识与能力假期作业(含答案)
- 邢台市地理地质与环境
- Python100经典练习题
- 自然村村民理事会章程
- 村村民公约
- 尔雅西方文明通论课后测验期末考试满分答案综合版
- 第二讲机关档案工作基础测试
- 2017-2023年中国加油站行业分析与发展前景评估报告(目录) - 图
- 北师大版六年级数学下册《第四单元 正比例与反比例》单元教案
- 2017届成都市高新区九年级下学期一诊化学试卷(带解析)
- 农村土地承包合同纠纷产生的原因和审理中应注意的问题
- 精做06 盐类的水解-试题君之大题精做君2017-2018学年高二化学选
- 树木学实验实习指导书
- 遗传学
- 《矿山固定机械与运输设备》复习题
- 20XX年记者“走基层转作风改文风”心得
- 2014年普通高等学校招生全国统一考试语文试卷 文言文阅读题汇编
- 2017村级党风廉政建设责任书三篇
- 教师招聘考试试题库及答案~准备考教育局统一招考的同学们注意拉
- 以前水墨画的基本表现技法