同步复位同步置位的上升沿D触发

更新时间:2023-11-06 23:29:01 阅读量: 医药卫生 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

项目x 同步复位同步置位的上升沿D触发

班级:xxxx 学号:xxxxxxxx 姓名:xxxx

一、实训任务

1.实现同步复位同步置位上升沿D触发的功能

2.使用verilog语言编辑实现逻辑功能

Waveform File建立仿真波形文件

实训报告

二、实训要求

1、绘图必须规范、严谨,要求仿真成功。?

2、不得相互拷贝和抄袭

三、实训内容

通过对要求,结合D触发器用Verilog 语言,编写程序,通过仿真实现功能。

四、结果分析

当rst收到信号0,clk上升沿置时,复位。当set收到信号0,clk上升沿置时,置位。结果见附页(仿真图)

遇到的问题

If语句中如果想添加第二个条件应该用else if( )来实现。

五、实训心得

这个项目和之前的项目相比感觉难度上来了一些,但是还是通过反复的琢磨,终于实现了项目所要的效果,心理还是比较开心的,通过这次实训收货还是很多的,比如在思考如何将同步复位和同步置位放在一个if 语句中,通过对书上的例题反复的看,终于了解与明白,实现了结果。

六、附件

仿真图

程序

本文来源:https://www.bwwdw.com/article/8bg2.html

Top