VHDL实验 4选1多路选择器 - 图文

更新时间:2023-11-26 18:21:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

VHDL实验报告

学院: 理学院 专业: 电子信息科学与技术 班级:电科091 姓名 实验12.23 时间 实验 4选1多路选择器 项目 名称 1、通过4选1多路选择器的设计,熟悉ALTERA公司EDA设计工具软件实QuartusII 5.1的VHDL文本设计流程。 师 学号 指导教李良荣 成绩 实验组 验2、 熟悉文本输入及仿真步骤。掌握硬件描述语言和自顶向下的电子设计; 目3、掌握VHDL的基本语法和书写格式;掌握QUARTUS Ⅱ的使用和调试方法; 的 4、设计电路,实现电路的4选1多路选择器 选择 功能,并下载运行。 5、初步了解可编程器件设计的全过程。 选择器常用于数字信号的切换,四选一选择器可以用于4路信号的切换。四选一选择器有4个输入端input(0)-input(3)、2个信号控制端a,b实及一个信号输出端y。当a,b输入不同的选择信号时,就可以使input(0)验-input(3)中的一个输入信号与输出y端口接通。 原用拨码开关作四位数据及两位控制端的输入,LED作输出,通过拨码开理 关组成控制输入端s1和s0不同组合,观察LED与数据输入端a,b,c,d的关系,验证4选一数据选择器设计的正确性。 1

仪1 、PC机,1台 器 2 、QuartusII系统 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux4a1 IS PORT(input:IN STD_LOGIC_VECTOR(3 DOWNTO 0); a,b:IN STD_LOGIC; --输入信号 y:OUT STD_LOGIC); --输出信号 END ENTITY mux4a1; ARCHITECTURE rtl OF mux4a1 IS 实SIGNAL sel:STD_LOGIC_VECTOR(1 DOWNTO 0); 验BEGIN 内 sel<=b&a; --并置操作,获得二维矢量数据类型 容 PROCESS(input,sel)IS BEGIN IF (sel=\ y<=input(0); ELSIF(sel=\ y<=input(1); ELSIF(sel=\ ELSE y<=input(3);

2

END IF; END PROCESS; PROCESS进程语句结束 END ARCHITECTURE rtl; 1.实验源程序如下: 实验 步骤与数据 8 2. 创建文件夹,保存文件。 3

3.选择芯片为 ‘EP1C6Q240C8’。 4

4. 完成设置,显示文件信息。从显示中我们看到项目和实体名为:MUX4A1,其中只有一个文件,芯片为Cyclone系列的EP1C6Q240C8。 5

本文来源:https://www.bwwdw.com/article/7hlt.html

Top