基于CPLD 与MSP430 的多功能数字相位频率测量系统

更新时间:2023-05-19 11:34:01 阅读量: 实用文档 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

基于CPLD与MSP430的多功能数字相位频率测量系统

张世雄,祁玉林,边红昌,王应吉

吉林大学电子科学与工程学院 130026 Email:zhangshixiong.student@

摘 要: 本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;其数字式移相信号发生模块利用DDS原理设计了数控移项信号发生器,可数控产生0°~359.99°相位差、1Hz ~10MHz的两路正弦信号。 关键词: CPLD DDS 相位测量 频率测量 数控移相

1. 引言

本设计源于2003年全国大学生电子设计竞赛C题——低频数字式相位测量仪。在题目要求的功能指标基础上设计了此多功能数字相位测量系统。本系统主要包括两大模块:相位频率测量模块,数字式移相信号发生器模块。

目前常见的相位测量方法有:①模拟差脉积分法②传统单周期计数法③正弦信号数值采样法④多周期脉冲计数法。模拟差脉积分法电路复杂且对元器件要求较高;传统的单周期计数法对高频信号精度较低;本设计采取多周期脉冲计数法提高测量相位精度。对于常见的正弦信号产生方法有:①锁相环式频率合成器法②专用的DDS芯片法③利用DDS原理CPLD实现法。锁相环式频率合成器法难以实现数控移相功能;采用专用的DDS芯片价格较高;综合考虑,我们利用DDS原理设计了数控移相发生器,实现数控移相、信号产生功能。

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

3. 相位测量模块设计:

本模块主要包括输入信号变换,测频,测相三大部分。

3.1 输入信号变换部分:

输入信号变换电路部分包括放大、整形两级电路(具体电路见图9)。前级输入采用开环放大,以增加信号的上升沿陡度,尽可能减小后级过零比较时出现的附加相移误差,器件选择低噪、高精度、高速运放OP37。后级整形采用AD790作为过零比较器,该比较器最大传输延时45ns,内建500uV窗口比较电压,有效地抑制过零比较时出现的抖动,输出TTL电平,可直接供后级测量使用。为了保护AD790,用两个二极管将比较器同相输入端的信号对地钳位,把同相输入信号的幅度限制在二极管的正负管压降之内。

3.2 测频部分:

常用的测频方法有:直接频率测量法(适合高频信号),直接周期测量法(适合低频信号),组合测量法(适合高、低频,但分界点误差较大且难以确定)和等精度测量法(测量精度与频率无关,但占用较多软、硬件资源)。

由相对误差公式,测频相对误差:δ=

FS NX f

其中FS为晶振频率, FS=+

fFSNX

为晶振误差,取决于选择的晶振性能(参考晶振一经选定误差也固定);NX为周期计数值,

NX=±1为计数方法误差,属于不可避免的系统误差。又因为

FS

很小,故测频相对误FS

差δ=

FS f NX NX1

。可见要提高精度只有增加计数个数NX。 =+≈≤

fFSNXNXNX

对直接频率测量法来说NX=

TgTx

=Tg fx(Tg为闸门开放时间),

∴δ↓ NX↑ Tg↑,fx↑,因此直接频率测量法适合高频信号(否则闸门开放时间Tg太

长);而对于直接周期测量法,NX=

Txf

=STSfx

∴δ↓ NX↑ fS

,x

f

,可见直接

周期测量法适合低频信号。例如信号20KHz时、如采用频率为60MHz的晶振,

NX=

fS1

=3000,即δ≤≈0.03%。可见在20Hz~20KHz的低频段范围内周期法测频fxNX

的精度已足够。

当需要频段更宽至高频时,可以更改电路设计采用等精度测量法测频,但需增加电路成本。

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

3.3 测相部分:

测相部分主要包括0°~360°相位差脉冲变换电路、同步脉冲变周期控制电路。 3.3.1 0°~360°相位差脉冲变换电路

0°~360°相位差脉冲变换电路功能是产生0°~360°的A与B相位差脉冲,设计了如下电路来实现此功能。

相位差脉冲信号

图2 相位差脉冲变换电路

工作波形如下:

图3 相位差脉冲变换电路波形图

3.3.2 脉冲同步多周期变换电路

对传统的单周期计数测相法,只是对相位差单脉冲宽度进行计数,当信号频率较高时脉

冲宽度较窄,影响测量精度。例如当信号20K Hz时,0.1相位差对应差脉冲的高电平宽度

°

τ

o

0.11=13.8ns。因此如果能把脉宽为τ的相位差信号,选择多个周期进行仅为:τ= 360o20K

连续计数,即测出多个差脉冲宽度,则可大大提高测相精度。同时为节约测量时间更好的进行实时测量,多周期的个数应随被测信号频率增减而增减。基于以上想法,在传统的单周期计数测相法基础上,设计了脉冲同步多周期变换电路如下:

预制门信号

同步多周期

相位差信号 清零信号 晶振信号

同步多周期 晶振信号

A B

相位差信号

图4 脉冲同步多周期变换电路

如上图4所示:A触发器的D端接地,B触发器的D端接QA,故一般时A、B触发器的Q端为0。当测量时,由MSP430产生一个60ms左右的预制门信号到A触发器 的置1

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

端,使QA立刻置1。在预制门期间第一个相位差脉冲的上升沿使QB置1, 预制门信号结束后的第二个相位差脉冲的上升沿使QB又置0,最后在QB端得到前后沿都与相位差脉冲严格同步的多周期闸门信号。即QB端的信号宽度正好是整数X个被测信号周期,X是一个随被测信号频率增加随之增加的整数。将QB端该多周期闸门信号和相位差信号、晶振信号相‘与’,可得同步多周期相位差信号;将其和晶振信号相‘与’,可得同步多周期晶振信号。且二者严格同步。其工作波形见图5所示:

清零信号 晶振信号 预制门信号 相位差信号

同步多周期晶振信号同步多周期相位差信号

图5 脉冲同步多周期变换电路波形图

由上图可见通过设计的脉冲同步多周期变换电路,能产生整数X个周期的多周期晶振信号、多周期相位差信号,且二者严格同步。分别通过32位计数器对其进行计数,得到计数

值NX、NS。设相位差信号脉冲宽度为τ,晶振频率为fS即:

NX

XT = fS

N X τ=S

fS

=τ 360°=N

T

S

NX

360°可见 与M无关且提高了测相精度。经过MSP430读取计数

器数值并进行高精度浮点数乘除法运算,输出给液晶显示所求的相位差。

4. 数字式移相信号发生器模块设计:

直接数字频率合成技术(DDS)主要利用数字技术速度的大幅度提高的优势,以地址累加器为核心,用MSP430将算得的频率步进值和相位控制字送入CPLD中,以循环累加的方式,对一个周期的正弦波形寻址。我们设计的数字式移相信号发生器,在DDS基础上增设了相位加法器,在频率稳定性、精度、步进控制等方面效果满意。具体CPLD设计如下:

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

图6 数控移相发生器电路

为了简化系统方案,移相功能的实现是通过第一路的地址累加器输出后加一相位差加法器。虽有延时产生,但在低频时对相位差影响较小。移相模块设计还可采用另种方法:通过两路的相位累加器,预置第二路相位累加器的初值,从而达到与第一路实现同步,实现更为精确的数控移相,但实现电路较为复杂。若有更多容量的CPLD可利用,可采用此方法。

设地址累加器位数为N1,则用DDS法输出的信号频率公式为:

fout

fclk Kfout 2N= K=

2N1fclk

1

。用MSP430读取键盘输入频率

fout,计算得到频率控

制字K送至CPLD。

设相位差加法器位数为N2,若两路输出相位差为θphase,则相位差控制字L=

2N2

θ。用MSP430读取键盘输入相位差θphase,计算得到相位差控制字L送至CPLD。ophase

360

360o

分辨力δ=N。从上式中可以看出,输出相位差的分辨力只与相位累加器位数有关,而

22

与输出的频率无关。提高N则可提高其分辨力,当N2=24时,δ=0.00002。

ο

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

5. 系统设计流程图、总电路图:

其CPLD片内系统顶层原理图如下:

相位差脉冲变换电路脉冲同步多周期变换电路

测相计数器组

数据选择器

闸门电路

周期计数器

数控移相发生器

图7 CPLD片内系统顶层原理图

系统软件流程图如下:

图8 系统软件流程总图

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

系统总电路图如下:

(注:EPM7128与DAC_U5数据线复用)

Text

图9 多功能数字相位、频率测量系统总电路图

参考文献

[1] 全国大学生电子设计竞赛组委会, 全国大学生电子设计竞赛获奖作品精选(1994—1999), 北京:北

京理工大学出版社 2003.3

[2] 全国大学生电子设计竞赛组委会, 第五届全国大学生电子设计竞赛获奖作品选编(2001), 北京:北

京理工大学出版社 2003.1

[3] 林占江,张乃国, 电子测量技术, 北京:电子工业出版社 2003.9 [4] 甘历, VHDL应用与开发实践, 北京:科学出版社 2003.5

[5] 胡大可, MSP430超低功耗16位单片机原理与应用, 北京:北京航空航天大学出版社 2000.6 [6] 魏小龙, MSP430系列单片机接口技术及系统设计实例, 北京:北京航空航天大学出版社 2002.11 [7] Analog Devices Inc. Fast Precision Comparator AD790 Data Sheet.

[8] Copyright 2000 – 2003, Texas Instruments Incorporated, MSP430x149 MIXED SIGNAL

MICROCONTROLLER Data Sheet. 2000.6

[9] 谭浩强, C程序设计(第二版), 北京:清华大学出版社 1999.12

本文介绍了一种基于CPLD与MSP430实现的全数字式控制、具有移相信号发生功能的相位频率测量系统。本系统主要包括两大模块。其相位频率测量模块设计了0°~360°相位差脉冲变换电路、脉冲同步多周期变换电路和周期法测频电路,可实现10Hz~20KHz两路正弦信号的高精度测相测频;

Multifunctional Digital Phase And Frequency Measurement System Based On CPLD and MSP430 Microcontroller

Shixiong Zhang , Yulin Qi , Hongchang Bian,Yingji Wang

Electronic Science and Engineering College of Jilin University Chanchun Jilin PRC. 130026

Abstract:

In this paper, we designed a multifunctional digital phase measurement system, which is based on CPLD and MSP430 microcontroller. This system is completely digital controlled and can generate phase-shifting signals that you need. Measurement module of the system includes 0°~360° phase difference-pulse transforming circuit,alterable periods- synchronization control circuit and method of measuring period frequency-measurement circuit . By the three up mentioned good performance circuit , 10Hz~20KHz signals can be measured accurately; Digital phase shifting generator module is achieved by DDS principle, and this digital controlled generator module could produce two channels sine signals, whose phase difference range is0°~359.99° and frequency range is 1Hz ~10MHz.

Keywords: CPLD, DDS, phase measurement, frequency measurement, digital controlled phase shifting

作者简介: 张世雄(1982--),男,吉林省吉林市人,吉林大学电气工程及其自动化专业2001级本科生。

祁玉林(1982--),男,宁夏银川市人, 吉林大学电气工程及其自动化专业2001级本科生。 边红昌(1984--),男,河南省新乡市人,吉林大学电气工程及其自动化专业2001级本科生。

王应吉(1956--),男,山西省孝义人,吉林大学副教授、示范教师,主要从事电磁法地学仪器研究。

本文来源:https://www.bwwdw.com/article/6fl4.html

Top