2016年《FPGA技术》考试题型示范
更新时间:2023-04-29 15:11:01 阅读量: 实用文档 文档下载
2016年《FPGA技术》考试题型示范
一、填空题。(每题1 分,共10分)
1.将硬件描述语言转化为硬件电路的过程称为综合。
2. FPGA 是基于查找表结构的可编程逻辑器件。
3.. 早期的CPLD 是从GAL 的结构扩展而来。
4.不正确使用else的不完整的IF 语句,其综合结果会产生锁存器。
5.FPGA/CPLD配置方式中,由由可编程逻辑器件引导的配置过程称为
二、问答题(每题5 分,共20 分)
1.简要说明一下功能仿真和时序仿真的异同。设计过程中如果只做功能仿真,不做时序仿真,设计的正确性是否能得到保证。
2.基于EDA 软件的FPGA / CPLD 设计流程是什么?
3.从互联结构上,可编程逻辑器件可分为哪两类?其特点是什么?
三、程序分析(约40分)
1、程序补充完整
module shifter( din,clk ,clr,dout);
input din,clk,clr;
output [7:0] dout;
reg[7:0] dout;
always @(posedge clk or posedge clr )
begin
if ( clr )
dout<= 8'b00000000;
else
begin
dout <= dout << 1;
dout[0] <= din;
end
end
endmodule
2.分析test22 模块功能
1)说明其中任务my_and 的功能。
2)根据测试文件test_tp 所描述的时间和激励信号输入,绘出相应的输入输出功能仿真波形图。
module test22 (code,a,b,c);
input[1:0] code;
1
input[3:0] a,b;
output[4:0] c;
reg[4:0] c;
task my_and;
input[3:0] a,b;
output[4:0] out;
integer i;
begin
out[4]=1'b0;
for(i=3;i>=0;i=i-1)
out[i]=a[i]&b[i];
end
endtask
always@(code or a or b)
begin
case(code)
2'b00: my_and(a,b,c);
2'b01: c=a|b;
2'b10: c=a-b;
2'b11: c=a+b;
default : c = 4'b0000;
endcase
end
endmodule
`timescale 1ns/100ps
module test_tp;
reg[3:0] a,b;
reg[1:0] code;
wire[4:0] c;
parameter DELY = 100;
test22 u1(code,a,b,c);
initial begin
code=4'd0; a= 4'b0000; b= 4'b1111;
#DELY code=4'd0; a= 4'b0111; b= 4'b1101;
#DELY code=4'd1; a= 4'b0001; b= 4'b0011;
#DELY code=4'd2; a= 4'b1001; b= 4'b0011;
#DELY code=4'd3; a= 4'b0011; b= 4'b0001;
2
#DELY code=4'd3; a= 4'b0111; b= 4'b1001;
#DELY $finish;
end
endmodule
3.画出下面程序描述的有限状态机的状态转移图module fsm(in1,in2,clk,reset,out);
input in1,in2;
input clk,reset;
output[1:0] out;
parameter s0= 2'b00,s1=2'b01,s2 = 2'b10,s3= 2'b11;
reg [1:0] next_state,cur_state;
reg [1:0] out;
always @ (posedge clk)
if(reset)
cur_state <= s0;
else
cur_state <= next_state;
always @ (in1 or in2 or cur_state)
case(cur_state)
s0 : if(in1)
begin out = in1 & in2 ;next_state = s1; end else
begin
out = 2'b00;next_state = s0; end
s1 : if(!in2 & in1)
begin out = 2'b10;next_state = s2; end else
begin
out = 2'b01;next_state = s1; end
s2 : begin
out = 2'b10;
if(in1 & in2)
next_state = s3;
else
next_state = s0;
end
3
s3 : if({in1,in2}==2'b00)
begin out = 2'b11;next_state = s1; end
else
begin out = 2'b01;next_state = s0; end
default :
begin out = 2'b00;next_state = s0; end
endcase
endmodule
4 、根据下面的Verilog HDL 代码, 画出综合后的电路图。
module test12(out,clk,in1,in2,in3,in4);
input in1,in2,in3,in4, clk;
output out;
reg out;
reg temp1,temp2;
always @ (posedge clk)
begin
temp1 <= in1 | in2;
temp2 <= temp1& in3;
out <= temp2 & in4;
end
endmodule
四、编程题。(约30分)
1. 根据图一所示的原理图写出相应的Verilog HDL程序,其中例化名为U1,U2的模块均是上升沿触发的触发器。
图一
2. 设计一个序列检测器, 用于检测串行的二进制序列, 每当连续输入”11011101”序
4
列时, 序列检测器的输出flag_out =1’b1,否则其它情况下输出f lag_out =1’b0。(1)画出状态图。
(2)写出实现程序。
(3)设时钟clk 仿真周期为400ns,试编写仿真输入激励信号的测试文件,画出该测试文件对应的输出信号的波形。
3.用V erilog HDL设计一个组合逻辑电路,实现可供三人至8人表决的表决器电路。
5
正在阅读:
2016年《FPGA技术》考试题型示范04-29
我家的小绿龟作文450字06-29
博物馆建筑毕业实习报告07-07
王雪娇 核酸的提取04-24
永教德1号10-31
国际经济学10-31
维修电工高级组技术文件03-21
2018年辽宁省葫芦岛市中考数学试卷(答案+解析)01-21
封包机使用范围与性能及常见问题的处理方法03-25
- 教学能力大赛决赛获奖-教学实施报告-(完整图文版)
- 互联网+数据中心行业分析报告
- 2017上海杨浦区高三一模数学试题及答案
- 招商部差旅接待管理制度(4-25)
- 学生游玩安全注意事项
- 学生信息管理系统(文档模板供参考)
- 叉车门架有限元分析及系统设计
- 2014帮助残疾人志愿者服务情况记录
- 叶绿体中色素的提取和分离实验
- 中国食物成分表2020年最新权威完整改进版
- 推动国土资源领域生态文明建设
- 给水管道冲洗和消毒记录
- 计算机软件专业自我评价
- 高中数学必修1-5知识点归纳
- 2018-2022年中国第五代移动通信技术(5G)产业深度分析及发展前景研究报告发展趋势(目录)
- 生产车间巡查制度
- 2018版中国光热发电行业深度研究报告目录
- (通用)2019年中考数学总复习 第一章 第四节 数的开方与二次根式课件
- 2017_2018学年高中语文第二单元第4课说数课件粤教版
- 上市新药Lumateperone(卢美哌隆)合成检索总结报告
- 题型
- 示范
- 考试
- 技术
- 2016
- FPGA
- Sophos网络安装指南中文版
- 精选最新走进高三主题班会作文合集
- 完整word版预防学卫生学案例及解析
- 《物理化学》试卷(含答案)
- iReport-JasperReports报表开发指南
- 集团“讲忠诚、严纪律、立政德”专题警示教育动员会讲话稿
- 2015-2020年中国P2P信贷行业分析与投资趋势预测报告
- 快乐工作平淡生活演讲稿
- 2018届内蒙古巴彦淖尔市第一中学高三上学期期中考试 文科综合
- 2019年七年级下册历史练习册参考答案人教版
- 双壁钢套箱围堰施工方案设计
- 青海省人力资源和社会保障厅、青海省财政厅关于进一步加强机关事
- 医学检验专业医院招聘考试试题及答案
- 人教版(新课程标准)小学语文三年级语文上册第六单元测试卷D卷
- 突发事件及自救互救版本四尔雅满分答案
- 个性化服务中基于用户聚类的协同过滤推荐_王辉
- 初中八年级的物理下册的第一次月考试卷习题包括答案.doc
- 人教版高中政治必修1精品课时作业29:2.2 价格变动的影响
- 学校环境卫生消毒制度
- 基于GPRS和MBUS远程无线抄表系统的设计与实现