EDA综述报告

更新时间:2023-09-17 03:43:01 阅读量: 高中教育 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

EDA

课 程 综 述

课程名称 在系统编程技术 任课教师 周泽华 班级 10级自动化(2)班 姓名 孙修才 学号 1005075044 日期 2013.6.25

1

目 录

一、 EDA概述 ......................................................................................... 3 二、 EDA技术 ....................................................................................... 3 三、 EDA设计方法 ............................................................................... 4 1 “自顶向下”的设计方法 ................................................................... 4 2 ASIC设计 ...................................................................................... 4 3 硬件描述语言 ................................................................................ 4 4 EDA的一般设计步骤................................................................... 5 四、 EDA应用 ....................................................................................... 5 五、 EDA发展趋势 ............................................................................... 6 六、 总结 ................................................................................................ 6 七、参考文献 ............................................................................................ 6

2

前言:

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。 现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

本次课程综述主要对EDA技术的概述,技术设计方法,发展概况,以及未来的发展方向作出一定的讨论与分析,通过一学期的学习,我得知,EDA技术作为现代电子技术的核心,他依赖于功能强大的计算机,在EDA工具软件平台上对以硬件描述语言VHDL为系统逻辑描述手段完成设计文件。自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

一、 EDA概述

EDA(Electronic Design Automation即电子设计自动化)技术是在电子CAD技术基础上发展起来的综合了现代电子与计算机技术最新研究成果、以计算机为工作平台对电子线路、系统或芯片进行自动化设计与应用的计算机辅助设计技术。基于CAD的EDA技术的发展和应用领域的扩大与深入,使得EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。随着现代半导体精密加工技术发展到深亚微米(0.18~0.35um)阶段,基于大规模或超大规模集成电路技术的定制或半定制ASIC(Application Specific IC即专用集成电路)器件大量涌现并获得广泛的应用,使整个电子技术与产品的面貌发生了深刻的变化,极大地推动了社会信息化的发展进程。正应如此,应用EDA技术的产品的市场需求也必然会反映到教学和科研领域中来。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。

二、 EDA技术

数字系统的设计可以采用不同的方法,在今天复杂的IC设计环境下,概括起来只有两种设计方法供数字系统设计人员选择:一种为由底向上(Bottom-up)的设计方法,也称为传统的设计方法;另一种为自顶向下(Top-down)的设计方法,也称为现代的设计方法。但是由于所设计的数字系统的规模大小不一,且系统内部逻辑关系复杂,如何划分逻辑功能模块便成为设计数字系统的最重要的任务。

3

采用由底向上的设计方法需要设计者首先定义和设计每个基本模块,然后对这些模块进行连线以完成整体设计。在IC设计复杂程度低于10000门时常采用这种设计方法,但是随着设计复杂程度的增加,该方法会产生产品生产周期长、可靠性低、开发费用高等问题。

现代的设计方法综合运用各方面的知识,设计者必须从系统的角度来分析每个设计,同时还要对数字电路结构、EDA工具、微电子等有关知识有比较全面的了解,这样才能发挥自顶向下设计的优势,提高电路设计的质量和效率。

采用自顶向下技术进行设计可分为三个主要阶段:系统设计、系统的综合和优化和系统实现,各个阶段之间并没有绝对的界限。

系统设计是整个设计流程中最重要的部分。它包括系统功能分析、体系结构设计、系统描述与系统功能仿真4个步骤,这一阶段所做的工作基本上决定了所设计电路的性能,后面所做的工作都是以这一部分为基础的。

三、 EDA设计方法

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1 “自顶向下”的设计方法

几年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

而高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避燃计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率

2 ASIC设计

现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASC和可纪程ASIC(也称为可编程逻辑器件)。

3 硬件描述语言

硬件描述语言(HDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用

4

图形输入软件需要输人500至1000个门,而利用VHDL语言只需要书写一行“A=B+C”即可。而且 VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL、HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL,1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。

VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由底向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑艄设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

4 EDA的一般设计步骤

对于高层次设计,步骤如下:

(1) 按照\自顶向下\的设计方法进行系统划分。

(2) 输入VHDL代码,这是高层次设计中最为普遍的输入方式。此外,还可以采用图形输入 方式(框图,状态图等),这种输入方式具有直观、容易理解的优点。

(3)将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前 对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

(4)利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次 描述转化为硬件电路的关键步骤。 综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的 厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不 涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。 (5)利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底 层器件配置、逻辑分割、逻辑优化和布局布线。适配完成后,产生多项设计结果:①适配报告,包 括芯片内部资源利用情况,设计的布尔方程描述情况等;②适配后的仿真模型;③器件编程文件。 根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就 需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。 (6):将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。

四、 EDA应用

5

应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

五、 EDA发展趋势

EDA技术的现状和发展前景

随着市场需求的增长,集成工艺水平的可行性以及计算机自动设计技术的不断提高,单片系统,这一发展趋势表现在如下几个方面:

(1)超大规模集成电路的集成度和工艺水平不断提高,深亚微米工艺,在一个芯片上完成系统级的集成已成为可能。

(2)由于工艺线的不断减小,在半导体材料上的许多寄生效应,已经不能简单的被忽略。这就对EDA工具提出了更高的要求。

(3)市场对电子产品提出了更高的要求,同时,设计的速度也成了一个产品能否成功的关键因素,促使EDA工具和IP核应用更为广泛。

(4)高性能的EDA工具得到长足的发展,其自动化和智能化程度不断提高,为嵌入系统设计提供了功能强大的开发环境。

(5)计算机硬件平台性能大幅度提高,为复杂的SOC设计提供了物理基础。

六、 总结

通过一个学期对EDA技术的学习,我觉得它是一门很实用的学科,在本学期中,主要是对其有大致的了解和掌握,通过实验实训设计,也让我认识到EDA也能实现很多电子线路的功能,但是我想EDA的应用也不仅仅是这些,基于VHDL硬件设计语言的EDA设计让我们在电子线路创新方面有了更加广阔的发展领域。EDA技术已经不是某一学科的分支,或某种新的技能技术,它应该是一门综合学科。它融合多科学于一体,又渗透于各学科中。它打破了软件和硬件之间的壁垒,使计算机的软件技术与硬件实现,设计效率和产品性能合二为一,他代表了电子设计技术和应用技术的发展方向。我希望在以后的学习中能够再次深入对EDA技术的学习,熟悉有关EDA技术的编程语言和原理图设计方法,锻炼自己的动手能力,发挥这方面的创新能力,并为以后的工作奠定基础。

七、参考文献

周泽华.2013.在系统中编程技术—实验指导书.

潘松,黄继业.2009.EDA技术与VHDL.北京:科学出版社

潘松,潘明。2007.现代计算机组成远离.背景:科学出版社.

潘松,王国栋.2001.VHDL实用教程(修订版).成都:成都电子科技大学出版社.

6

本文来源:https://www.bwwdw.com/article/5foh.html

Top