自动售货机设计Verilog

更新时间:2024-03-25 11:26:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

自动售货机设计

一、实验目的 1、实现自动售货机的功能; 2、运用状态机原理设计。 二、实验内容

? 这种自动售货机只销售听装与瓶装两种罐装可乐,售价均为1.5元。顾客只能通过

两个不同的投币口分别投入五角的硬币或者一元硬币。一次交易可以买多罐,且自动找零。若钱不够,则自动退币。并且在购买之前只要一按下取消键cancel就马上无条件退币。

? 此机器的硬件示范电路,以二個按键电路代替二個投币孔,以两个八段数码管显示

投币数量,再以4hz闪烁之led來显示退币,以两个八段数码管显示退币数量。此机器就采用1024hz的系统clock信号同步所有的买卖行为,并且作为弹跳消除电路的基准参考脉冲。这个电路虽然是纯数位电路但是可作为一个自动售货机的控制核心电路加上驱动器就可以用来驱动机械装置。

? 下图为自动售货机的操作盘,有两个投币按钮,分別为五角硬币与一元硬币。每投

入一个硬币就将下方的LED指示灯号点亮到具体数额,并且最高上限只能接受9.5元的金额。另外,每一种饮料商品都配置3个灯号(分别显示存货、选择数量与出货等三个信息)与一个选择购买的按钮。每按一次选择购买按钮,选择数量显示加1,并且存货自动计算,若没有货存,则货存灯灭,一旦选择商品与投币金额足够,就需要按下确定要购买的按键;当然也可以后悔不买而按下取消键,让机器退回已经投入的硬币。如若投入的钱币不足以购所有的饮料,则退币。退币时货存更改为原状态。

三、程序设计

module auto_vending(clk,cel_cola,cel_diet,reset,coin_ten,coin_five,ok_buy,cancel_buy,//输入 led_cola_ok,led_diet_ok,led_buy,led_cancel,led_cola_out,led_diet_out,shu_cola_sel,shu_diet_sel,

led_display,shu_money_return,Hex);//输出

input clk,cel_cola,cel_diet,reset,coin_ten,coin_five,ok_buy,cancel_buy; output led_cola_ok,led_diet_ok,//表示还有存货

led_buy,led_cancel,//表示选择购买和取消购买 led_cola_out,led_diet_out;//显示表示已出货 //led_return;//每四秒闪烁一次代表被退之硬币 wire [10:0]led_cola_sel,led_diet_sel;////选中饮料的数量 output [6:0]shu_cola_sel,shu_diet_sel;

output [13:0]led_display;//表示投币的金额 output [13:0]shu_money_return;

wire ok,cancel,money_ok;//在ok_or_cancel出来的 //wire [10:0]no_diet,no_cola;//售货机内的饮料数量 wire [10:0]money_return;

//reg [10:0]no_sock_cola,no_sock_diet; wire [10:0]total_count,total_consum; output [13:0]Hex;

assign Hex=14'b111_111_111_11111; parameter Idle = 2'd0, S1 = 2'd1, S2 = 2'd2;

reg [1:0]state; reg clk_1; reg rst;

reg [29:0]count;

always@(posedge clk)//sheng cheng 1s de shi zhong begin

if(count==30'd25000000) begin

clk_1<=~clk_1; count<=0; end else

count<=count+1; end

always@(posedge clk_1 or negedge reset) if(!reset) begin state <=Idle; rst<=0; end else case (state) Idle: begin rst<=0; state<=S1; end S1: begin rst<=1; if(ok_buy||cancel_buy) state<=Idle; end endcase

wire buyok;

toubi u1(rst,clk,coin_five,coin_ten,led_display,total_count);

select_drink u2(clk,rst,cel_cola,cel_diet,/*no_cola,no_diet,*/

led_cola_sel,led_diet_sel,/*led_cola_ok,led_diet_ok,*/total_consum);

ok_or_cancel u3(clk,rst,ok_buy,cancel_buy,ok,cancel,led_buy,led_cancel);

give_check u4(reset,buyok,clk,ok,money_ok,rst,led_cola_out,led_diet_out, led_cola_sel,led_diet_sel,led_cola_ok,led_diet_ok/*no_cola,no_diet*/); coin_return

u5(clk,rst,ok_buy,money_return,total_count,total_consum,money_ok,cancel_buy,cancel);

xianshi q1(led_cola_sel,shu_cola_sel); xianshi q2(led_diet_sel,shu_diet_sel);

xianshi2 w2(money_return,shu_money_return); endmodule

///显示数量的

module xianshi(a,b); //用来显示 input [10:0]a; output reg [6:0]b; always@(a) case(a) 11'd0:b<=7'b1000000; 11'd1:b<=7'b1111001; 11'd2:b<=7'b0100100; 11'd3:b<=7'b0110000; 11'd4:b<=7'b0011001; 11'd5:b<=7'b0010010; 11'd6:b<=7'b0000010; 11'd7:b<=7'b1111000; 11'd8:b<=7'b0000000; 11'd9:b<=7'b0010000; endcase endmodule //钱数显示

module xianshi2(total_count,led_display); input [10:0]total_count;

output reg [13:0]led_display; always@(total_count) case(total_count) 11'd0: led_display<=14'b1000000_1000000; 11'd1: led_display<=14'b1000000_0010010;

11'd2: led_display<=14'b1111001_1000000; 11'd3: led_display<=14'b1111001_0010010; 11'd4: led_display<=14'b0100100_1000000; 11'd5: led_display<=14'b0100100_0010010; 11'd6: led_display<=14'b0110000_1000000; 11'd7: led_display<=14'b0110000_0010010; 11'd8: led_display<=14'b0011001_1000000; 11'd9: led_display<=14'b0011001_0010010; 11'd10: led_display<=14'b0010010_1000000; 11'd11: led_display<=14'b0010010_0010010; 11'd12: led_display<=14'b0000010_1000000; 11'd13: led_display<=14'b0000010_0010010; 11'd14: led_display<=14'b1111000_1000000; 11'd15: led_display<=14'b1111000_0010010; 11'd16: led_display<=14'b0000000_1000000; 11'd17: led_display<=14'b0000000_0010010; 11'd18: led_display<=14'b0010000_1000000; 11'd19: led_display<=14'b0010000_0010010; endcase endmodule

//去抖模块

module qudou(clk,reset,k,key); input k,reset,clk; output reg key; reg [10:0]clock;

always@(posedge clk) if(!reset) clock<=11'd0; else begin if(k) begin key<=1; clock<=0; end else begin clock<=clock+1; if(clock==1000) begin clock<=11'b0; key=0;

end end end endmodule

/////投币电路

module toubi(reset,clk,coin_05,coin_10,led_display,total_count);//加一个去抖模块 input reset,clk,coin_05,coin_10; output [13:0]led_display;

output reg[10:0]total_count;//统计投入里面的金币 wire qu_coin_05,qu_coin_10;

qudou a1(clk,reset,coin_05,qu_coin_05); qudou a2(clk,reset,coin_10,qu_coin_10); reg [10:0]count_1,count_2;

always@(negedge qu_coin_05 or negedge reset) begin if(!reset) begin count_1<=11'd0; end else if(!qu_coin_05) begin count_1 <= count_1+11'd1; end end

always@(negedge qu_coin_10 or negedge reset) if(!reset) begin count_2<=11'd0; end else if(!coin_10) begin

count_2<=count_2+11'd2; end

always@(count_1 or count_2) total_count<=count_2+count_1;

xianshi2 a4(total_count,led_display); endmodule

//饮料选择处理模块

module select_drink(clk,reset,select_cola,select_diet/*,sock_cola,sock_diet*/,

cola_sel,diet_sel,/*led_cola_ok,led_diet_ok,*/total_consum); input clk,reset,select_cola,select_diet;

本文来源:https://www.bwwdw.com/article/2p38.html

Top